diff options
author | Katharina Fey <kookie@spacekookie.de> | 2019-10-23 12:12:59 +0000 |
---|---|---|
committer | Katharina Fey <kookie@spacekookie.de> | 2019-10-23 12:12:59 +0000 |
commit | 3547597c8c5db5e40e66119587777910e780da3d (patch) | |
tree | d5f54a723ee6bb380b918cea195762d271a98ba0 /nixpkgs/pkgs/development | |
parent | 0f74f62ee25ac2d21bd67c29b8efc3ad079a72a8 (diff) | |
parent | f35f0880f2cdbc8c1bc81492811251f120d7a9bc (diff) |
Merge commit 'f35f0880f2cdbc8c1bc81492811251f120d7a9bc' into bump-nixpkgs
Diffstat (limited to 'nixpkgs/pkgs/development')
518 files changed, 8704 insertions, 5000 deletions
diff --git a/nixpkgs/pkgs/development/compilers/compcert/default.nix b/nixpkgs/pkgs/development/compilers/compcert/default.nix index 940eb851fe6..3a6c5e9c2c7 100644 --- a/nixpkgs/pkgs/development/compilers/compcert/default.nix +++ b/nixpkgs/pkgs/development/compilers/compcert/default.nix @@ -4,7 +4,7 @@ }: assert lib.versionAtLeast ocamlPackages.ocaml.version "4.02"; -assert lib.versionAtLeast coq.coq-version "8.6.1"; +assert lib.versionAtLeast coq.coq-version "8.8.0"; let ocaml-pkgs = with ocamlPackages; [ ocaml findlib menhir ]; @@ -12,13 +12,13 @@ let in stdenv.mkDerivation rec { pname = "compcert"; - version = "3.5"; + version = "3.6"; src = fetchFromGitHub { owner = "AbsInt"; repo = "CompCert"; rev = "v${version}"; - sha256 = "1g8067a5x3vd0l47d04gjvy5yx49nghh55am5d1fbrjirfsnsz8j"; + sha256 = "1k9xhj7fgllhf7bn7rp3w6zfvs4clglnc4w39zp4678hrwvdcpha"; }; nativeBuildInputs = [ makeWrapper ]; @@ -27,7 +27,6 @@ stdenv.mkDerivation rec { patchPhase = '' substituteInPlace ./configure \ - --replace '|8.9.0' '|8.9.0|8.9.1' \ --replace '{toolprefix}gcc' '{toolprefix}cc' ''; diff --git a/nixpkgs/pkgs/development/compilers/elm/default.nix b/nixpkgs/pkgs/development/compilers/elm/default.nix index 676fa19d9e8..6949f6e3b2a 100644 --- a/nixpkgs/pkgs/development/compilers/elm/default.nix +++ b/nixpkgs/pkgs/development/compilers/elm/default.nix @@ -4,20 +4,11 @@ let fetchElmDeps = import ./fetchElmDeps.nix { inherit stdenv lib fetchurl; }; - patchBinwrap = import ./packages/patch-binwrap.nix { inherit lib writeScriptBin stdenv; }; - - elmNodePackages = - import ./packages/node-composition.nix { - inherit nodejs pkgs; - inherit (stdenv.hostPlatform) system; - }; - hsPkgs = haskell.packages.ghc865.override { overrides = self: super: with haskell.lib; let elmPkgs = rec { elm = overrideCabal (self.callPackage ./packages/elm.nix { }) (drv: { # sadly with parallelism most of the time breaks compilation - # also compilation is slower with increasing number of cores anyway (Tested on Ryzen 7 and i7) enableParallelBuilding = false; preConfigure = self.fetchElmDeps { elmPackages = (import ./packages/elm-srcs.nix); @@ -42,25 +33,10 @@ let `package/nix/build.sh` */ elm-format = justStaticExecutables (doJailbreak (self.callPackage ./packages/elm-format.nix {})); - elmi-to-json = justStaticExecutables (self.callPackage ./packages/elmi-to-json.nix {}); inherit fetchElmDeps; elmVersion = elmPkgs.elm.version; - - /* - Node/NPM based dependecies can be upgraded using script - `packages/generate-node-packages.sh`. - Packages which rely on `bin-wrap` will fail by default - and can be patched using `patchBinwrap` function defined in `packages/patch-binwrap.nix`. - */ - elm-test = patchBinwrap [elmi-to-json] elmNodePackages.elm-test; - elm-verify-examples = patchBinwrap [elmi-to-json] elmNodePackages.elm-verify-examples; - elm-language-server = elmNodePackages."@elm-tooling/elm-language-server"; - - # elm-analyse@0.16.4 build is not working - elm-analyse = elmNodePackages."elm-analyse-0.16.3"; - inherit (elmNodePackages) elm-doc-preview elm-live elm-upgrade elm-xref; }; in elmPkgs // { inherit elmPkgs; @@ -69,4 +45,31 @@ let indents = self.callPackage ./packages/indents.nix {}; }; }; -in hsPkgs.elmPkgs + + /* + Node/NPM based dependecies can be upgraded using script + `packages/generate-node-packages.sh`. + Packages which rely on `bin-wrap` will fail by default + and can be patched using `patchBinwrap` function defined in `packages/patch-binwrap.nix`. + */ + elmNodePackages = + let + nodePkgs = import ./packages/node-composition.nix { + inherit nodejs pkgs; + inherit (stdenv.hostPlatform) system; + }; + in with hsPkgs.elmPkgs; { + elm-test = patchBinwrap [elmi-to-json] nodePkgs.elm-test; + elm-verify-examples = patchBinwrap [elmi-to-json] nodePkgs.elm-verify-examples; + elm-language-server = nodePkgs."@elm-tooling/elm-language-server"; + + # elm-analyse@0.16.4 build is not working + elm-analyse = nodePkgs."elm-analyse-0.16.3"; + inherit (nodePkgs) elm-doc-preview elm-live elm-upgrade elm-xref; + }; + + patchBinwrap = import ./packages/patch-binwrap.nix { inherit lib writeScriptBin stdenv; }; + +in hsPkgs.elmPkgs // elmNodePackages // { + lib = { inherit patchBinwrap; }; +} diff --git a/nixpkgs/pkgs/development/compilers/gcc/8/default.nix b/nixpkgs/pkgs/development/compilers/gcc/8/default.nix index e25c7b6016a..b84b8958325 100644 --- a/nixpkgs/pkgs/development/compilers/gcc/8/default.nix +++ b/nixpkgs/pkgs/development/compilers/gcc/8/default.nix @@ -378,9 +378,6 @@ stdenv.mkDerivation ({ stdenv.lib.platforms.freebsd ++ stdenv.lib.platforms.illumos ++ stdenv.lib.platforms.darwin; - - # See #40038 - broken = stdenv.isDarwin; }; } diff --git a/nixpkgs/pkgs/development/compilers/go/1.12.nix b/nixpkgs/pkgs/development/compilers/go/1.12.nix index 7a6c0b041fc..ce8d0223714 100644 --- a/nixpkgs/pkgs/development/compilers/go/1.12.nix +++ b/nixpkgs/pkgs/development/compilers/go/1.12.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchurl, fetchpatch, tzdata, iana-etc, runCommand +{ stdenv, fetchurl, tzdata, iana-etc, runCommand , perl, which, pkgconfig, patch, procps, pcre, cacert, Security, Foundation , mailcap, runtimeShell , buildPackages, pkgsTargetTarget @@ -96,6 +96,12 @@ stdenv.mkDerivation rec { # Disable cgo lookup tests not works, they depend on resolver rm src/net/cgo_unix_test.go + # Disable TestGcSys because it's flakey in our tests, but the failure is not + # reproducible by multiple people in other environments. + # See https://github.com/NixOS/nixpkgs/issues/68361#issuecomment-537849272 and following + # NOTE: Try re-enabling for releases newer than 1.12.9 + sed -i '/TestGcSys/areturn' src/runtime/gc_test.go + '' + optionalString stdenv.isLinux '' sed -i 's,/usr/share/zoneinfo/,${tzdata}/share/zoneinfo/,' src/time/zoneinfo_unix.go '' + optionalString stdenv.isAarch32 '' @@ -137,11 +143,6 @@ stdenv.mkDerivation rec { ./skip-nohup-tests.patch # breaks under load: https://github.com/golang/go/issues/25628 ./skip-test-extra-files-on-386.patch - (fetchpatch { # probably included in >= 1.12.10 - url = "https://github.com/golang/go/commit/aae0b5b0b.diff"; - name = "TestGcSys-too-much-memory.diff"; - sha256 = "1bl9d2pl6n99n9g65cq91sygmp1iva5rmrxbprwn4xd0ql36psa8"; - }) ]; postPatch = '' diff --git a/nixpkgs/pkgs/development/compilers/intel-graphics-compiler/default.nix b/nixpkgs/pkgs/development/compilers/intel-graphics-compiler/default.nix new file mode 100644 index 00000000000..c8fbffcc164 --- /dev/null +++ b/nixpkgs/pkgs/development/compilers/intel-graphics-compiler/default.nix @@ -0,0 +1,73 @@ +{ stdenv +, fetchFromGitHub +, cmake +, pkgconfig + +, bison +, flex +, llvmPackages_8 +, opencl-clang +, python +, spirv-llvm-translator + +, buildWithPatches ? true +}: + +let + llvmPkgs = llvmPackages_8 // { + inherit spirv-llvm-translator; + }; + inherit (llvmPkgs) llvm; + inherit (if buildWithPatches then opencl-clang else llvmPkgs) clang clang-unwrapped spirv-llvm-translator; + inherit (stdenv.lib) getVersion optional optionals versionOlder versions; +in + +stdenv.mkDerivation rec { + pname = "intel-graphics-compiler"; + version = "1.0.10"; + + src = fetchFromGitHub { + owner = "intel"; + repo = "intel-graphics-compiler"; + rev = "igc-${version}"; + sha256 = "1yqd2zvvvxxxzb5d3v0f03n0jdivid5l2cj11dw7ff7xz7jwiv2i"; + }; + + nativeBuildInputs = [ clang cmake bison flex llvm python ]; + + buildInputs = [ clang opencl-clang spirv-llvm-translator ]; + + # checkInputs = [ lit pythonPackages.nose ]; + + # FIXME: How do we run the test suite? + # https://github.com/intel/intel-graphics-compiler/issues/98 + doCheck = false; + + # Handholding the braindead build script + # We put this in a derivation because the cmake requires an absolute path + prebuilds = stdenv.mkDerivation { + name = "igc-cclang-prebuilds"; + phases = [ "installPhase" ]; + installPhase = '' + mkdir $out + ln -s ${clang}/bin/clang $out/ + ln -s clang $out/clang-${versions.major (getVersion clang)} + ln -s ${opencl-clang}/lib/* $out/ + ln -s ${clang-unwrapped}/lib/clang/${getVersion clang}/include/opencl-c.h $out/ + ''; + }; + + cmakeFlags = [ + "-DCCLANG_BUILD_PREBUILDS=ON" + "-DCCLANG_BUILD_PREBUILDS_DIR=${prebuilds}" + "-DIGC_PREFERRED_LLVM_VERSION=${getVersion llvm}" + ]; + + meta = with stdenv.lib; { + homepage = https://github.com/intel/intel-graphics-compiler; + description = "LLVM-based compiler for OpenCL targeting Intel Gen graphics hardware"; + license = licenses.mit; + platforms = platforms.all; + maintainers = with maintainers; [ gloaming ]; + }; +} diff --git a/nixpkgs/pkgs/development/compilers/ldc/default.nix b/nixpkgs/pkgs/development/compilers/ldc/default.nix index 787a9352e22..03e6fa82b9d 100644 --- a/nixpkgs/pkgs/development/compilers/ldc/default.nix +++ b/nixpkgs/pkgs/development/compilers/ldc/default.nix @@ -2,8 +2,8 @@ , python, libconfig, lit, gdb, unzip, darwin, bash , callPackage, makeWrapper, targetPackages , bootstrapVersion ? false -, version ? "1.16.0" -, ldcSha256 ? "00kk6pijn1ay2kkrp6b5ismawxr10azwij89k1rkszavqq6rsva2" +, version ? "1.17.0" +, ldcSha256 ? "1aag5jfrng6p4ms0fs90hjbv9bcj3hj8h52r68c3cm6racdajbva" }: let diff --git a/nixpkgs/pkgs/development/compilers/nextpnr/default.nix b/nixpkgs/pkgs/development/compilers/nextpnr/default.nix index d9f6f9f65dd..f0c1ba14c48 100644 --- a/nixpkgs/pkgs/development/compilers/nextpnr/default.nix +++ b/nixpkgs/pkgs/development/compilers/nextpnr/default.nix @@ -14,15 +14,26 @@ let in with stdenv; mkDerivation rec { pname = "nextpnr"; - version = "2019.09.28"; + version = "2019.10.13"; - src = fetchFromGitHub { - owner = "yosyshq"; - repo = "nextpnr"; - rev = "7cd1e0495122847611b17a8d1f007d97a05b288c"; - sha256 = "13y739l92plb22g73jf35pyh3y94b2vq0i65r9c31r2rb7fw4bbl"; - fetchSubmodules = true; - }; + srcs = [ + (fetchFromGitHub { + owner = "YosysHQ"; + repo = "nextpnr"; + rev = "c365dd1cabc3a4308ab9110534918623622c246b"; + sha256 = "1344pyq9xb5y1vxsnfgr488drfjsa6ls1jck0z9hwam6vg55s10r"; + name = "nextpnr"; + }) + (fetchFromGitHub { + owner = "YosysHQ"; + repo = "nextpnr-tests"; + rev = "8f93e7e0f897b1b5da469919c9a43ba28b623b2a"; + sha256 = "0zpd0w49k9l7rs3wmi2v8z5s4l4lad5rprs5l83w13667himpzyc"; + name = "nextpnr-tests"; + }) + ]; + + sourceRoot = "nextpnr"; nativeBuildInputs = [ cmake ] @@ -51,13 +62,17 @@ with stdenv; mkDerivation rec { # but works ok. We should probably make this overrideable upstream. patchPhase = with builtins; '' substituteInPlace ./CMakeLists.txt \ - --replace 'git log -1 --format=%h' 'echo ${substring 0 11 src.rev}' + --replace 'git log -1 --format=%h' 'echo ${substring 0 11 (elemAt srcs 0).rev}' # use PyPy for icestorm if enabled substituteInPlace ./ice40/family.cmake \ --replace ''\'''${PYTHON_EXECUTABLE}' '${icestorm.pythonInterp}' ''; + preBuild = '' + ln -s ../nextpnr-tests tests + ''; + doCheck = true; postFixup = lib.optionalString enableGui '' diff --git a/nixpkgs/pkgs/development/compilers/pakcs/default.nix b/nixpkgs/pkgs/development/compilers/pakcs/default.nix index a118e7ab73b..0b46bd1f24d 100644 --- a/nixpkgs/pkgs/development/compilers/pakcs/default.nix +++ b/nixpkgs/pkgs/development/compilers/pakcs/default.nix @@ -4,13 +4,13 @@ , curl, git, unzip, gnutar, coreutils, sqlite }: let - name = "pakcs-2.1.1"; + name = "pakcs-2.1.2"; # Don't switch to development release without a reason, because its # source updates without version bump. Prefer current release instead. src = fetchurl { url = "https://www.informatik.uni-kiel.de/~pakcs/download/${name}-src.tar.gz"; - sha256 = "112v9ynqfbbm4x770mcfrai9v5bh7c3zn7jka80pv6v4y65r778c"; + sha256 = "0i0nprli3knc7zlp5qkqkpiq3ny36v52hnvgph376l3ajjds7wf6"; }; curry-frontend = (haskellPackages.override { @@ -43,9 +43,14 @@ in stdenv.mkDerivation { # Since we can't expand $out in `makeFlags` #makeFlags="$makeFlags PAKCSINSTALLDIR=$out/pakcs" - substituteInPlace currytools/cpm/src/CPM/Repository.curry \ - --replace "/bin/rm" "rm" - ''; + for file in currytools/cpm/src/CPM/Repository.curry \ + currytools/cpm/src/CPM/Repository/CacheDB.curry \ + scripts/compile-all-libs.sh \ + scripts/cleancurry.sh \ + examples/test.sh testsuite/test.sh lib/test.sh; do + substituteInPlace $file --replace "/bin/rm" "rm" + done + '' ; # cypm new: EXISTENCE ERROR: source_sink # "/tmp/nix-build-pakcs-2.0.2.drv-0/pakcs-2.0.2/currytools/cpm/templates/LICENSE" diff --git a/nixpkgs/pkgs/development/compilers/spirv-llvm-translator/default.nix b/nixpkgs/pkgs/development/compilers/spirv-llvm-translator/default.nix new file mode 100644 index 00000000000..1ee0b9ed0cc --- /dev/null +++ b/nixpkgs/pkgs/development/compilers/spirv-llvm-translator/default.nix @@ -0,0 +1,38 @@ +{ stdenv +, fetchFromGitHub +, cmake + +, lit +, llvm_8 +}: + +stdenv.mkDerivation rec { + pname = "SPIRV-LLVM-Translator"; + version = "8.0.1-2"; + + src = fetchFromGitHub { + owner = "KhronosGroup"; + repo = "SPIRV-LLVM-Translator"; + rev = "v${version}"; + sha256 = "0hxalc3fkliqs61hpr97phbm3qsx4b8vgnlg30aimzr6aas403r5"; + }; + + nativeBuildInputs = [ cmake ]; + + buildInputs = [ llvm_8 ]; + + checkInputs = [ lit ]; + + cmakeFlags = [ "-DLLVM_INCLUDE_TESTS=ON" ]; + + # FIXME: CMake tries to run "/llvm-lit" which of course doesn't exist + doCheck = false; + + meta = with stdenv.lib; { + homepage = https://github.com/KhronosGroup/SPIRV-LLVM-Translator; + description = "A tool and a library for bi-directional translation between SPIR-V and LLVM IR"; + license = licenses.ncsa; + platforms = platforms.all; + maintainers = with maintainers; [ gloaming ]; + }; +} diff --git a/nixpkgs/pkgs/development/compilers/swi-prolog/default.nix b/nixpkgs/pkgs/development/compilers/swi-prolog/default.nix index 9245e59553f..cbcfd7efb40 100644 --- a/nixpkgs/pkgs/development/compilers/swi-prolog/default.nix +++ b/nixpkgs/pkgs/development/compilers/swi-prolog/default.nix @@ -2,12 +2,14 @@ , libarchive, db, pcre, libedit, libossp_uuid, libXpm , libSM, libXt, freetype, pkgconfig, fontconfig, makeWrapper ? stdenv.isDarwin , git, cacert, cmake, libyaml +, libjpeg, libX11, libXext, libXft, libXinerama , extraLibraries ? [ jdk unixODBC libXpm libSM libXt freetype fontconfig ] , extraPacks ? [] +, withGui ? false }: let - version = "8.1.4"; + version = "8.1.15"; packInstall = swiplPath: pack: ''${swiplPath}/bin/swipl -g "pack_install(${pack}, [package_directory(\"${swiplPath}/lib/swipl/pack\"), silent(true), interactive(false)])." -t "halt." ''; @@ -19,12 +21,13 @@ stdenv.mkDerivation { src = fetchgit { url = "https://github.com/SWI-Prolog/swipl-devel"; rev = "V${version}"; - sha256 = "0qxa6f5dypwczxajlf0l736adbjb17cbak3qsh5g04hpv2bxm6dh"; + sha256 = "0czbrscx2s4079nmwvipp9cnwfny16m3fpnp823llm7wyljchgvq"; }; buildInputs = [ cacert git cmake gmp readline openssl libarchive libyaml db pcre libedit libossp_uuid zlib pkgconfig ] + ++ stdenv.lib.optionals (withGui && !stdenv.isDarwin) [ libXpm libX11 libXext libXft libXinerama libjpeg ] ++ extraLibraries ++ stdenv.lib.optional stdenv.isDarwin makeWrapper; @@ -68,7 +71,7 @@ stdenv.mkDerivation { description = "A Prolog compiler and interpreter"; license = "LGPL"; - platforms = stdenv.lib.platforms.unix; + platforms = stdenv.lib.platforms.linux ++ stdenv.lib.optionals (!withGui) stdenv.lib.platforms.darwin; maintainers = [ stdenv.lib.maintainers.meditans ]; }; } diff --git a/nixpkgs/pkgs/development/compilers/yosys/default.nix b/nixpkgs/pkgs/development/compilers/yosys/default.nix index 79c8f67b9e0..8948af17145 100644 --- a/nixpkgs/pkgs/development/compilers/yosys/default.nix +++ b/nixpkgs/pkgs/development/compilers/yosys/default.nix @@ -9,14 +9,14 @@ with builtins; stdenv.mkDerivation rec { pname = "yosys"; - version = "2019.09.27"; + version = "2019.10.18"; srcs = [ (fetchFromGitHub { owner = "yosyshq"; repo = "yosys"; - rev = "c372e7baf9c48d41ebdbea4486a72e8dfaaddd3d"; - sha256 = "18cyz900haf8lkpddqn0sns0a3hc8fqndzz7gg391671hzvy820k"; + rev = "3c41599ee1f62e4d77ba630fa1a245ef3fe236fa"; + sha256 = "0jg2g8v08ax1q6qlvn8c1h147m03adzrgf21043xwbh4c7s5k137"; name = "yosys"; }) @@ -26,8 +26,8 @@ stdenv.mkDerivation rec { (fetchFromGitHub { owner = "berkeley-abc"; repo = "abc"; - rev = "5776ad07e7247993976bffed4802a5737c456782"; - sha256 = "1la4idmssg44rp6hd63sd5vybvs3vr14yzvwcg03ls37p39cslnl"; + rev = "623b5e82513d076a19f864c01930ad1838498894"; + sha256 = "1mrfqwsivflqdzc3531r6mzp33dfyl6dnqjdwfcq137arqh36m67"; name = "yosys-abc"; }) ]; diff --git a/nixpkgs/pkgs/development/coq-modules/coq-elpi/default.nix b/nixpkgs/pkgs/development/coq-modules/coq-elpi/default.nix index c689cea1a82..defe52987aa 100644 --- a/nixpkgs/pkgs/development/coq-modules/coq-elpi/default.nix +++ b/nixpkgs/pkgs/development/coq-modules/coq-elpi/default.nix @@ -1,10 +1,10 @@ { stdenv, fetchFromGitHub, which, coq }: let params = { - "8.10" = { - version = "master"; - rev = "bc7134deba1aacc7ecd2f5d1032bdf05b125c568"; - sha256 = "188avk9irwjsbs5ya4ka01mpk3vw4397kv2rmsncqrrrsa1pdddk"; + "8.10" = rec { + version = "1.1.0"; + rev = "v${version}"; + sha256 = "06jyw7n27ylg02jvlaa3hs13hg8qgx47yn4dxhg9as1xri9a2rvm"; }; }; param = params.${coq.coq-version}; diff --git a/nixpkgs/pkgs/development/go-modules/generic/default.nix b/nixpkgs/pkgs/development/go-modules/generic/default.nix index 55fda78b03e..9adebe51247 100644 --- a/nixpkgs/pkgs/development/go-modules/generic/default.nix +++ b/nixpkgs/pkgs/development/go-modules/generic/default.nix @@ -73,6 +73,8 @@ let installPhase = args.modInstallPhase or '' runHook preInstall + # remove cached lookup results and tiles + rm -rf "''${GOPATH}/pkg/mod/cache/download/sumdb" cp -r "''${GOPATH}/pkg/mod/cache/download" $out runHook postInstall diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-common.nix b/nixpkgs/pkgs/development/haskell-modules/configuration-common.nix index 4505c73c9f9..fa48275d900 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-common.nix +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-common.nix @@ -15,6 +15,11 @@ with haskellLib; self: super: { + # Arion's test suite needs a Nixpkgs, which is cumbersome to do from Nixpkgs + # itself. For instance, pkgs.path has dirty sources and puts a huge .git in the + # store. Testing is done upstream. + arion-compose = dontCheck super.arion-compose; + # This used to be a core package provided by GHC, but then the compiler # dropped it. We define the name here to make sure that old packages which # depend on this library still evaluate (even though they won't compile @@ -69,7 +74,7 @@ self: super: { name = "git-annex-${super.git-annex.version}-src"; url = "git://git-annex.branchable.com/"; rev = "refs/tags/" + super.git-annex.version; - sha256 = "0v3wgx3qbillmnn3amnl568ls113y3qlyf3k7y5b9lmz22k93680"; + sha256 = "1dawd7cxqgzv1irzgl9smzdw7b4v59k8xa5gbldkbww0ashyb8qv"; }; }).override { dbus = if pkgs.stdenv.isLinux then self.dbus else null; @@ -589,9 +594,23 @@ self: super: { sets = dontCheck super.sets; # Install icons, metadata and cli program. - bustle = overrideCabal super.bustle (drv: { + # Do not build hgettext as it is broken + # https://gitlab.freedesktop.org/bustle/bustle/issues/13 + bustle = overrideCabal (disableCabalFlag (super.bustle.override { hgettext = null; }) "hgettext") (drv: { buildDepends = [ pkgs.libpcap ]; buildTools = with pkgs.buildPackages; [ gettext perl help2man ]; + patches = [ + # fix build + # https://gitlab.freedesktop.org/bustle/bustle/merge_requests/14 + (pkgs.fetchpatch { + url = "https://gitlab.freedesktop.org/bustle/bustle/commit/ee4b81cbc232d47ba9940f1987777b17452e71ff.patch"; + sha256 = "0v9cvbmrma5jcqcg1narpm1549h0cg8mr6i00qxmq0x6hs04dnwa"; + }) + (pkgs.fetchpatch { + url = "https://gitlab.freedesktop.org/bustle/bustle/commit/aae6843f51f54679d440fb3813e61355dc8406b9.patch"; + sha256 = "1a8hr38hd1gdkqhsy56hyl7njw8ci79iigr81aalkb7hn4ckvh2a"; + }) + ]; postInstall = '' make install PREFIX=$out ''; @@ -1123,11 +1142,6 @@ self: super: { # Jailbreak tasty < 1.2: https://github.com/phadej/tdigest/issues/30 tdigest = doJailbreak super.tdigest; # until tdigest > 0.2.1 - uri-bytestring = appendPatch super.uri-bytestring (pkgs.fetchpatch { - url = "https://github.com/Soostone/uri-bytestring/commit/e5c5602a97160a6a6304a24947e33e47c9155460.patch"; - sha256 = "1qwy8bj6vywhp0075dza8j90zrzsm3144qz3c703s9c4n6pg3gw4"; - }); - # Requires pg_ctl command during tests beam-postgres = overrideCabal super.beam-postgres (drv: { testToolDepends = (drv.testToolDepends or []) ++ [pkgs.postgresql]; @@ -1222,36 +1236,37 @@ self: super: { # The LTS-14.x version of optparse-applicative is too old. cabal-plan = super.cabal-plan.override { optparse-applicative = self.optparse-applicative_0_15_1_0; }; - # https://github.com/gtk2hs/gtk2hs/issues/276 - glib = appendPatch super.glib (pkgs.fetchpatch { - url = https://github.com/gtk2hs/gtk2hs/pull/282/commits/4bb428e144ef2de9390f0f2239dcc50b7fc9a259.patch; - sha256 = "1s72s683p2n5ri1a030zywciq0020ms64cmsy48axndp6dp9vri7"; - stripLen = 1; - }); - pango = appendPatch super.pango (pkgs.fetchpatch { - url = https://github.com/gtk2hs/gtk2hs/pull/282/commits/0a6016e89ce98415bb395ca0cfafeaacf3b3fce6.patch; - sha256 = "1n9spriinyif4h1h9mfj9k87b80kcs39qlym5yxnxxg0yszqqcpc"; - stripLen = 1; - }); - gtk3 = appendPatch super.gtk3 (pkgs.fetchpatch { - url = https://github.com/gtk2hs/gtk2hs/pull/282/commits/cc0d8e8ef9bdffc776182a1f92225750bfea8f57.patch; - sha256 = "175zs694d04d7jfj8xq33rizw38bc3ninr00n26jyrg39vgkmc5j"; - stripLen = 1; - }); - gio = appendPatch super.gio (pkgs.fetchpatch { - url = https://github.com/gtk2hs/gtk2hs/pull/282/commits/f0f7cf524f1beaf227d8cce140abdf7c45efc8c6.patch; - sha256 = "1fadmibpk0q38fzp6a8ss6b1kh7v5d5mw3s9i45cd4dsg86hqb0i"; - stripLen = 1; - }); - gtk = appendPatch super.gtk (pkgs.fetchpatch { - url = https://github.com/gtk2hs/gtk2hs/pull/282/commits/a09720ae8fdc2f9391ba88308312e42d091a4f88.patch; - sha256 = "12ja6sprzl9si51rng8s2xx66ihpm6d6p00qi5czkpkrhr0457n7"; - stripLen = 1; - postFetch = "sed -i -e s,gtk.cabal-renamed,gtk.cabal, $out"; - }); - # Version bounds for http-client are too strict: # https://github.com/bitnomial/prometheus/issues/34 prometheus = doJailbreak super.prometheus; + # Tasty-tap tests are out-of-date with TAP format + # https://github.com/MichaelXavier/tasty-tap/issues/2 + tasty-tap = appendPatch super.tasty-tap (pkgs.fetchpatch { + url = https://patch-diff.githubusercontent.com/raw/MichaelXavier/tasty-tap/pull/3.diff; + sha256 = "0l8zbc56dy8ilxl3k49aiknmfhgpcg3jhs72lh3dk51d0a09d9sv"; + }); + + # The doctests in universum-1.5.0 are broken. The doctests in versions of universum after + # 1.5.0 should be fixed, so this should be able to be removed. + universum = dontCheck super.universum; + + # https://github.com/erikd/hjsmin/issues/32 + hjsmin = dontCheck super.hjsmin; + + # https://github.com/blamario/grampa/issues/19 + rank2classes = dontCheck super.rank2classes; + + nix-tools = super.nix-tools.overrideScope (self: super: { + # Needs https://github.com/peti/hackage-db/pull/9 + hackage-db = super.hackage-db.overrideAttrs (old: { + src = pkgs.fetchFromGitHub { + owner = "ElvishJerricco"; + repo = "hackage-db"; + rev = "84ca9fc75ad45a71880e938e0d93ea4bde05f5bd"; + sha256 = "0y3kw1hrxhsqmyx59sxba8npj4ya8dpgjljc21gkgdvdy9628q4c"; + }; + }); + }); + } // import ./configuration-tensorflow.nix {inherit pkgs haskellLib;} self super diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix b/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix index 292a703b13c..79210747260 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix @@ -64,8 +64,11 @@ self: super: { tasty-hedgehog = doJailbreak super.tasty-hedgehog; test-framework = doJailbreak super.test-framework; th-expand-syns = doJailbreak super.th-expand-syns; + # TODO: remove when upstream accepts https://github.com/snapframework/io-streams-haproxy/pull/17 + io-streams-haproxy = doJailbreak super.io-streams-haproxy; # base >=4.5 && <4.13 # use latest version to fix the build + generics-sop = self.generics-sop_0_5_0_0; hackage-db = self.hackage-db_2_1_0; lens = self.lens_4_18_1; memory = self.memory_0_15_0; @@ -77,7 +80,8 @@ self: super: { regex-posix = self.regex-posix_0_96_0_0; regex-tdfa = self.regex-tdfa_1_3_0; shelly = self.shelly_1_9_0; - tls = self.tls_1_5_1; + sop-core = self.sop-core_0_5_0_0; + tls = self.tls_1_5_2; xmonad-contrib = self.xmonad-contrib_0_16; # These packages don't work and need patching and/or an update. diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix.yaml b/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix.yaml index 1b20a0b5b20..657fc791caf 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix.yaml +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix.yaml @@ -43,7 +43,7 @@ core-packages: - ghcjs-base-0 default-package-overrides: - # LTS Haskell 14.8 + # LTS Haskell 14.10 - abstract-deque ==0.3 - abstract-deque-tests ==0.3 - abstract-par ==0.3.3 @@ -247,7 +247,7 @@ default-package-overrides: - butcher ==1.3.2.3 - butter ==0.1.0.6 - bv ==0.5 - - bv-little ==1.1.0 + - bv-little ==1.1.1 - byteable ==0.1.1 - bytedump ==1.0 - byteorder ==1.0.4 @@ -423,7 +423,7 @@ default-package-overrides: - crypto-cipher-tests ==0.0.11 - crypto-cipher-types ==0.0.9 - cryptocompare ==0.1.1 - - crypto-enigma ==0.1.1.5 + - crypto-enigma ==0.1.1.6 - cryptohash ==0.11.9 - cryptohash-cryptoapi ==0.1.4 - cryptohash-md5 ==0.11.100.1 @@ -625,7 +625,7 @@ default-package-overrides: - eventful-sqlite ==0.2.0 - eventful-test-helpers ==0.2.0 - event-list ==0.1.2 - - eventstore ==1.3.2 + - eventstore ==1.3.3 - every ==0.0.1 - exact-combinatorics ==0.2.0.9 - exact-pi ==0.5.0.1 @@ -770,7 +770,7 @@ default-package-overrides: - ghc-compact ==0.1.0.0 - ghc-core ==0.5.6 - ghc-exactprint ==0.6.1 - - ghcid ==0.7.5 + - ghcid ==0.7.6 - ghci-hexcalc ==0.1.1.0 - ghcjs-codemirror ==0.0.0.2 - ghc-lib ==8.8.0.20190424 @@ -875,7 +875,7 @@ default-package-overrides: - haskell-src-meta ==0.8.3 - haskey-btree ==0.3.0.1 - haskintex ==0.8.0.0 - - haskoin-core ==0.9.1 + - haskoin-core ==0.9.2 - hasql ==1.4 - hasql-optparse-applicative ==0.3.0.5 - hasql-pool ==0.5.1 @@ -915,7 +915,7 @@ default-package-overrides: - hinfo ==0.0.3.0 - hinotify ==0.4 - hint ==0.9.0.2 - - hjsmin ==0.2.0.2 + - hjsmin ==0.2.0.3 - hkgr ==0.2.4 - hlibgit2 ==0.18.0.16 - hlibsass ==0.1.8.0 @@ -1011,7 +1011,7 @@ default-package-overrides: - http-client ==0.6.4 - http-client-tls ==0.3.5.3 - http-common ==0.8.2.0 - - http-conduit ==2.3.7.2 + - http-conduit ==2.3.7.3 - http-date ==0.0.8 - http-directory ==0.1.5 - http-download ==0.1.0.0 @@ -1028,30 +1028,30 @@ default-package-overrides: - hvect ==0.4.0.0 - hvega ==0.3.0.1 - hw-balancedparens ==0.2.0.4 - - hw-bits ==0.7.0.7 - - hw-conduit ==0.2.0.5 + - hw-bits ==0.7.0.8 + - hw-conduit ==0.2.0.6 - hw-conduit-merges ==0.2.0.0 - hw-diagnostics ==0.0.0.7 - hw-dsv ==0.3.5 - hweblib ==0.6.3 - hw-eliasfano ==0.1.1.0 - - hw-excess ==0.2.2.0 - - hw-fingertree ==0.1.1.0 - - hw-fingertree-strict ==0.1.1.2 - - hw-hedgehog ==0.1.0.3 - - hw-hspec-hedgehog ==0.1.0.8 - - hw-int ==0.0.0.3 - - hw-ip ==2.3.4.1 + - hw-excess ==0.2.2.1 + - hw-fingertree ==0.1.1.1 + - hw-fingertree-strict ==0.1.1.3 + - hw-hedgehog ==0.1.0.5 + - hw-hspec-hedgehog ==0.1.0.9 + - hw-int ==0.0.0.4 + - hw-ip ==2.3.4.2 - hw-json ==1.0.0.2 - - hw-json-simd ==0.1.0.3 - - hw-mquery ==0.2.0.1 + - hw-json-simd ==0.1.0.4 + - hw-mquery ==0.2.0.2 - hw-packed-vector ==0.0.0.3 - - hw-parser ==0.1.0.1 - - hw-prim ==0.6.2.35 + - hw-parser ==0.1.0.2 + - hw-prim ==0.6.2.36 - hw-rankselect ==0.13.0.0 - - hw-rankselect-base ==0.3.2.1 - - hw-simd ==0.1.1.4 - - hw-streams ==0.0.0.10 + - hw-rankselect-base ==0.3.2.3 + - hw-simd ==0.1.1.5 + - hw-streams ==0.0.0.12 - hw-string-parse ==0.0.0.4 - hw-succinct ==0.1.0.1 - hxt ==9.3.1.18 @@ -1080,7 +1080,7 @@ default-package-overrides: - Imlib ==0.1.2 - immortal ==0.3 - include-file ==0.1.0.4 - - incremental-parser ==0.3.2.2 + - incremental-parser ==0.3.3 - indentation-core ==0.0.0.2 - indentation-parsec ==0.0.0.2 - indents ==0.5.0.1 @@ -1103,7 +1103,7 @@ default-package-overrides: - integration ==0.2.1 - intern ==0.9.2 - interpolate ==0.2.0 - - interpolatedstring-perl6 ==1.0.1 + - interpolatedstring-perl6 ==1.0.2 - interpolatedstring-qq2 ==0.1.0.0 - interpolation ==0.1.1.1 - interpolator ==1.0.0 @@ -1283,7 +1283,7 @@ default-package-overrides: - massiv-test ==0.1.1 - mathexpr ==0.3.0.0 - math-functions ==0.3.3.0 - - matplotlib ==0.7.4 + - matplotlib ==0.7.5 - matrices ==0.5.0 - matrix ==0.3.6.1 - matrix-market-attoparsec ==0.1.0.8 @@ -1517,7 +1517,7 @@ default-package-overrides: - pantry ==0.1.1.2 - parallel ==3.2.2.0 - parallel-io ==0.3.3 - - paripari ==0.6.0.0 + - paripari ==0.6.0.1 - parseargs ==0.2.0.9 - parsec ==3.1.14.0 - parsec-class ==1.0.0.0 @@ -1554,7 +1554,7 @@ default-package-overrides: - percent-format ==0.0.1 - peregrin ==0.3.0 - perfect-hash-generator ==0.2.0.6 - - persist ==0.1.1.3 + - persist ==0.1.1.4 - persistable-record ==0.6.0.4 - persistable-types-HDBC-pg ==0.0.3.5 - persistent ==2.9.2 @@ -1716,7 +1716,7 @@ default-package-overrides: - Ranged-sets ==0.4.0 - range-set-list ==0.1.3.1 - rank1dynamic ==0.4.0 - - rank2classes ==1.3.1 + - rank2classes ==1.3.1.1 - Rasterific ==0.7.4.4 - rasterific-svg ==0.3.3.2 - ratel ==1.0.8 @@ -1733,7 +1733,7 @@ default-package-overrides: - read-editor ==0.1.0.2 - read-env-var ==1.0.0.0 - reanimate ==0.1.8.0 - - reanimate-svg ==0.9.3.0 + - reanimate-svg ==0.9.3.1 - rebase ==1.3.1.1 - record-dot-preprocessor ==0.2 - record-hasfield ==1.0 @@ -1769,8 +1769,6 @@ default-package-overrides: - repa ==3.4.1.4 - repa-algorithms ==3.4.1.3 - repa-io ==3.4.1.1 - - replace-attoparsec ==1.0.2.0 - - replace-megaparsec ==1.1.4.0 - repline ==0.2.1.0 - req ==2.1.0 - req-conduit ==1.0.0 @@ -1781,7 +1779,7 @@ default-package-overrides: - resourcet ==1.2.2 - result ==0.2.6.0 - rethinkdb-client-driver ==0.0.25 - - retry ==0.8.0.2 + - retry ==0.8.1.0 - rev-state ==0.1.2 - rfc1751 ==0.1.2 - rfc5051 ==0.1.0.4 @@ -1883,14 +1881,13 @@ default-package-overrides: - servant-mock ==0.8.5 - servant-multipart ==0.11.4 - servant-pipes ==0.15.1 - - servant-rawm ==0.3.2.0 - servant-ruby ==0.9.0.0 - servant-server ==0.16.2 - servant-static-th ==0.2.2.1 - servant-swagger ==1.1.7.1 - - servant-swagger-ui ==0.3.4.3.22.2 + - servant-swagger-ui ==0.3.4.3.23.11 - servant-swagger-ui-core ==0.3.3 - - servant-swagger-ui-redoc ==0.3.3.1.22.2 + - servant-swagger-ui-redoc ==0.3.3.1.22.3 - servant-tracing ==0.1.0.2 - servant-xml ==1.0.1.4 - servant-yaml ==0.1.0.1 @@ -1905,7 +1902,7 @@ default-package-overrides: - sexpr-parser ==0.1.1.2 - SHA ==1.6.4.4 - shake-language-c ==0.12.0 - - shakespeare ==2.0.21 + - shakespeare ==2.0.22 - shared-memory ==0.2.0.0 - shell-conduit ==4.7.0 - shell-escape ==0.2.0 @@ -2208,7 +2205,7 @@ default-package-overrides: - TypeCompose ==0.9.14 - typed-process ==0.2.6.0 - type-errors ==0.2.0.0 - - type-errors-pretty ==0.0.0.0 + - type-errors-pretty ==0.0.1.0 - type-fun ==0.1.1 - type-hint ==0.1 - type-level-integers ==0.0.1 @@ -2267,7 +2264,7 @@ default-package-overrides: - unordered-containers ==0.2.10.0 - unordered-intmap ==0.1.1 - unsafe ==0.0 - - uri-bytestring ==0.3.2.1 + - uri-bytestring ==0.3.2.2 - uri-bytestring-aeson ==0.1.0.7 - uri-encode ==1.5.0.5 - uri-templater ==0.3.1.0 @@ -2448,7 +2445,7 @@ default-package-overrides: - yesod-recaptcha2 ==0.3.0 - yesod-sitemap ==1.6.0 - yesod-static ==1.6.0.1 - - yesod-test ==1.6.6.2 + - yesod-test ==1.6.7 - yesod-text-markdown ==0.1.10 - yesod-websockets ==0.3.0.2 - yes-precure5-command ==5.5.3 @@ -2584,6 +2581,8 @@ package-maintainers: - shakespeare abbradar: - Agda + roberth: + - arion-compose unsupported-platforms: alsa-mixer: [ x86_64-darwin ] @@ -2972,7 +2971,6 @@ broken-packages: - arguedit - ariadne - arion - - arion-compose - arith-encode - armada - armor @@ -3623,10 +3621,7 @@ broken-packages: - clarifai - CLASE - clash - - clash-ghc - - clash-lib - clash-multisignal - - clash-prelude - Clash-Royale-Hack-Cheats - clash-systemverilog - clash-verilog @@ -4398,7 +4393,6 @@ broken-packages: - ekg-elasticsearch - ekg-influxdb - ekg-log - - ekg-prometheus-adapter - ekg-push - ekg-rrd - elevator @@ -5694,6 +5688,9 @@ broken-packages: - hgen - hgeometric - hgeometry + - hgeometry-combinatorial + - hgeometry-ipe + - hgeometry-svg - hgeos - hgettext - hgis @@ -6943,7 +6940,6 @@ broken-packages: - ls-usb - lscabal - LslPlus - - lsp-test - lsystem - ltext - ltk @@ -8078,7 +8074,6 @@ broken-packages: - projectile - prolog-graph - prolog-graph-lib - - prometheus - prometheus-effect - promise - pronounce @@ -9349,7 +9344,6 @@ broken-packages: - tasty-laws - tasty-lens - tasty-stats - - tasty-tap - Taxonomy - TaxonomyTools - TBC @@ -9755,7 +9749,6 @@ broken-packages: - unity-testresult-parser - unitym-yesod - universe-th - - universum - unix-fcntl - unix-handle - unix-process-conduit @@ -10225,6 +10218,7 @@ broken-packages: - yaya-hedgehog - yaya-unsafe - ycextra + - yeamer - yeller - yeshql-postgresql-simple - yesod-angular diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-nix.nix b/nixpkgs/pkgs/development/haskell-modules/configuration-nix.nix index ffdd1134e3b..f3692d48a29 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-nix.nix +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-nix.nix @@ -444,6 +444,14 @@ self: super: builtins.intersectAttrs super { [ pkgs.darwin.apple_sdk.frameworks.OpenCL ]; }); + # depends on 'hie' executable + lsp-test = dontCheck super.lsp-test; + + # tests depend on executable + ghcide = overrideCabal super.ghcide (drv: { + preCheck = ''export PATH="$PWD/dist/build/ghcide:$PATH"''; + }); + # GLUT uses `dlopen` to link to freeglut, so we need to set the RUNPATH correctly for # it to find `libglut.so` from the nix store. We do this by patching GLUT.cabal to pkg-config # depend on freeglut, which provides GHC to necessary information to generate a correct RPATH. diff --git a/nixpkgs/pkgs/development/haskell-modules/hackage-packages.nix b/nixpkgs/pkgs/development/haskell-modules/hackage-packages.nix index 1b95375eb85..54e0fad1346 100644 --- a/nixpkgs/pkgs/development/haskell-modules/hackage-packages.nix +++ b/nixpkgs/pkgs/development/haskell-modules/hackage-packages.nix @@ -15866,8 +15866,8 @@ self: { }: mkDerivation { pname = "PyF"; - version = "0.8.1.0"; - sha256 = "10zmw6ircqq53jvkmpqdf7sqf1pgc85m4w2k981jwbhpc3dycgrb"; + version = "0.8.1.1"; + sha256 = "0kwva2ia02z3l0g8vzp9029sv5y4smllc8x9y6qv599f2sy8h31w"; libraryHaskellDepends = [ base containers haskell-src-exts haskell-src-meta megaparsec mtl template-haskell text @@ -16397,6 +16397,27 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "RSA_2_4_1" = callPackage + ({ mkDerivation, base, binary, bytestring, crypto-api + , crypto-pubkey-types, QuickCheck, SHA, tagged, test-framework + , test-framework-quickcheck2 + }: + mkDerivation { + pname = "RSA"; + version = "2.4.1"; + sha256 = "0hchsqrxpfw7mqrqwscfy8ig1w2di6w3nxpzi873w0gibv2diibj"; + libraryHaskellDepends = [ + base binary bytestring crypto-api crypto-pubkey-types SHA + ]; + testHaskellDepends = [ + base binary bytestring crypto-api crypto-pubkey-types QuickCheck + SHA tagged test-framework test-framework-quickcheck2 + ]; + description = "Implementation of RSA, using the padding schemes of PKCS#1 v2.1."; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "RSolve" = callPackage ({ mkDerivation, base, containers, lens, mtl }: mkDerivation { @@ -20933,8 +20954,8 @@ self: { ({ mkDerivation, base, deepseq, random, simple-affine-space }: mkDerivation { pname = "Yampa"; - version = "0.13"; - sha256 = "1rxy8vky3wmqn4awr6v7r40ghk6nr27y11jnzbkj1bdp1948irc0"; + version = "0.13.1"; + sha256 = "0wx47awmijdrw4alcwd4icfip8702h3riq0nhs8sjfjqsihdz4fb"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -23909,10 +23930,8 @@ self: { }: mkDerivation { pname = "aeson-yaml"; - version = "1.0.0.0"; - sha256 = "1d9ijcd9vry7fx5ks0qcq5q6pmncibg3m9k798i4vnl8ahncjqcq"; - revision = "2"; - editedCabalFile = "1zvil7w7a8j4d6dxkpdizs63qm8ylz18nvz6s2hjs5kgnfjd3dxm"; + version = "1.0.2.0"; + sha256 = "1h0pk3nw8y6c2z5rd1shjm8bcdgdvg1v9iqw5hc9b6rffi332n6q"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -24131,8 +24150,8 @@ self: { ({ mkDerivation, base, containers }: mkDerivation { pname = "agum"; - version = "2.7"; - sha256 = "1x1yd2wxff2am7g50nvwmk4slw6p31zl61mlm8rdgcjbds4a2qrk"; + version = "2.8"; + sha256 = "17x0vbmfzrg5r99c8qdw5np63k1gaqr4q9ab93cpga2wk42pwlrl"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ base containers ]; @@ -24698,6 +24717,18 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "alg_0_2_12_0" = callPackage + ({ mkDerivation, base, dual, util }: + mkDerivation { + pname = "alg"; + version = "0.2.12.0"; + sha256 = "0dglxav21z60jsjh6s14v466bg0z3m3wqx1c78kxk9cziz27dm3s"; + libraryHaskellDepends = [ base dual util ]; + description = "Algebraic structures"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "alga" = callPackage ({ mkDerivation, aeson, base, containers, data-default, exceptions , file-embed, filepath, formatting, haskeline, hspec, hxt @@ -30267,14 +30298,14 @@ self: { "arbor-datadog" = callPackage ({ mkDerivation, aeson, auto-update, base, buffer-builder - , bytestring, Cabal, dlist, generic-lens, hspec, lens, mtl, network - , optparse-applicative, random, resourcet, text, time, transformers - , unordered-containers, vector + , bytestring, Cabal, dlist, generic-lens, hspec, hspec-discover + , lens, mtl, network, optparse-applicative, random, resourcet, text + , time, transformers, unordered-containers, vector }: mkDerivation { pname = "arbor-datadog"; - version = "0.1.0.0"; - sha256 = "1w7bg8vj10mplfq39klhivj8hfb2cbgw9vhj1h64gm9v2xw25wlq"; + version = "0.1.0.1"; + sha256 = "1a6kvrm150kc12mi8sb3j89v5q9siwpqnrfsbcfxw4wq5jrwxsbg"; libraryHaskellDepends = [ aeson auto-update base buffer-builder bytestring dlist generic-lens lens mtl network optparse-applicative random resourcet text time @@ -30284,6 +30315,7 @@ self: { base Cabal generic-lens hspec lens network resourcet time transformers ]; + testToolDepends = [ hspec-discover ]; description = "Datadog client for Haskell"; license = stdenv.lib.licenses.mit; }) {}; @@ -30307,12 +30339,13 @@ self: { "arbor-monad-counter" = callPackage ({ mkDerivation, base, containers, generic-lens, hedgehog, hspec - , hw-hspec-hedgehog, lens, mtl, resourcet, stm, transformers + , hspec-discover, hw-hspec-hedgehog, lens, mtl, resourcet, stm + , transformers }: mkDerivation { pname = "arbor-monad-counter"; - version = "2.0.1"; - sha256 = "0fkf71ml6qfsxjx1p7gqf41q8x55hn8qsbb7nmyai0k0vz0s2yqq"; + version = "2.0.2"; + sha256 = "1bfqjbfyr6f11w1g9i45wcnqjpsjs1jwwjij64nq5903m8bsby73"; libraryHaskellDepends = [ base containers generic-lens lens mtl resourcet stm transformers ]; @@ -30320,19 +30353,20 @@ self: { base containers generic-lens hedgehog hspec hw-hspec-hedgehog lens mtl resourcet stm transformers ]; + testToolDepends = [ hspec-discover ]; + description = "Counter library for submitting metrics to a backend such as datadog"; license = stdenv.lib.licenses.mit; }) {}; "arbor-monad-logger" = callPackage ({ mkDerivation, base, bytestring, fast-logger, hedgehog, hspec - , hw-hspec-hedgehog, monad-logger, mtl, optparse-applicative, text + , hspec-discover, hw-hspec-hedgehog, monad-logger, mtl + , optparse-applicative, text }: mkDerivation { pname = "arbor-monad-logger"; - version = "0.1.1.1"; - sha256 = "13lgpr2j2vq2d4mbxl72h86iw5n028m5q0n2hbiz4hgk2yn2f2hs"; - revision = "1"; - editedCabalFile = "1ga2dl721zi4qz5f1qb6vqnjw7fa5x862xz2636jnidl03jh4rwv"; + version = "0.1.1.3"; + sha256 = "1x44kavmgm7nffvnakyrmcxq03vshg15vkk8wcpvkq43dndk9jb2"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -30340,6 +30374,7 @@ self: { ]; executableHaskellDepends = [ base mtl ]; testHaskellDepends = [ base hedgehog hspec hw-hspec-hedgehog ]; + testToolDepends = [ hspec-discover ]; description = "Simple logging library"; license = stdenv.lib.licenses.mit; hydraPlatforms = stdenv.lib.platforms.none; @@ -30348,13 +30383,13 @@ self: { "arbor-monad-metric" = callPackage ({ mkDerivation, arbor-datadog, base, bytestring, containers - , generic-lens, hedgehog, hspec, hw-hspec-hedgehog, lens, mtl - , network, resourcet, stm, text, transformers + , generic-lens, hedgehog, hspec, hspec-discover, hw-hspec-hedgehog + , lens, mtl, network, resourcet, stm, text, transformers }: mkDerivation { pname = "arbor-monad-metric"; - version = "1.2.0"; - sha256 = "0mn6pc5h1rwd3w2cw393skm62yxii21j5f7q9rlpdw7np9xgwfcf"; + version = "1.2.1"; + sha256 = "0lrp60nspihvyjzlj8y7ndwkhp1r09rx85mxkal5alcbgg0nzqf7"; libraryHaskellDepends = [ base containers generic-lens lens mtl resourcet stm text transformers @@ -30364,6 +30399,7 @@ self: { hspec hw-hspec-hedgehog lens mtl network resourcet stm text transformers ]; + testToolDepends = [ hspec-discover ]; description = "Core metric library for publishing metrics"; license = stdenv.lib.licenses.mit; hydraPlatforms = stdenv.lib.platforms.none; @@ -30373,13 +30409,13 @@ self: { "arbor-monad-metric-datadog" = callPackage ({ mkDerivation, arbor-datadog, arbor-monad-metric, base , bytestring, containers, exceptions, fast-logger, generic-lens - , hedgehog, hspec, hw-hspec-hedgehog, lens, monad-logger, mtl - , network, resourcet, stm, text, transformers + , hedgehog, hspec, hspec-discover, hw-hspec-hedgehog, lens + , monad-logger, mtl, network, resourcet, stm, text, transformers }: mkDerivation { pname = "arbor-monad-metric-datadog"; - version = "1.1.0"; - sha256 = "1ly7vn630vm256jg1b6h39vzd7zv658h7j4vfv4jkdrrcl3jmbr0"; + version = "1.1.1"; + sha256 = "1188xwwxx7ykdh7v15gqnh0kv9w1g66wp09jp1fpfyms1qj12nqj"; libraryHaskellDepends = [ arbor-datadog arbor-monad-metric base bytestring containers generic-lens lens mtl network resourcet stm text transformers @@ -30390,6 +30426,7 @@ self: { hw-hspec-hedgehog lens monad-logger mtl network resourcet stm text transformers ]; + testToolDepends = [ hspec-discover ]; description = "Metric library backend for datadog"; license = stdenv.lib.licenses.mit; hydraPlatforms = stdenv.lib.platforms.none; @@ -30402,8 +30439,8 @@ self: { }: mkDerivation { pname = "arbor-postgres"; - version = "0.0.4"; - sha256 = "0z3n2w9a57sl24i7h4yz8204drwkgr54pr2yrjam4xs3dyx6irf4"; + version = "0.0.5"; + sha256 = "0vn3jv60pphjjmhjwn1il3sh886dgdxs47gdiqfrii12hv8rsi21"; libraryHaskellDepends = [ base bytestring generic-lens lens network-uri optparse-applicative postgresql-simple text @@ -30841,8 +30878,7 @@ self: { ]; description = "Run docker-compose with help from Nix/NixOS"; license = stdenv.lib.licenses.asl20; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; + maintainers = with stdenv.lib.maintainers; [ roberth ]; }) {}; "arith-encode" = callPackage @@ -39410,8 +39446,8 @@ self: { }: mkDerivation { pname = "birch-beer"; - version = "0.2.1.0"; - sha256 = "0630cs2sfr5w4yva7a5cz9b5ms4cwqp7a027qhgz634sb4ih5q4k"; + version = "0.2.2.0"; + sha256 = "1175gxj08pn1h58cgppwx3h79wplmycfrpzn46cyw2fpjqakc5gi"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -43904,33 +43940,6 @@ self: { }: mkDerivation { pname = "bv-little"; - version = "1.1.0"; - sha256 = "1cpmwmd6gig0gggbr197cjpp0ykaj30jniqq3r5lsv644wizkg6r"; - libraryHaskellDepends = [ - base deepseq hashable integer-gmp keys mono-traversable - mono-traversable-keys primitive QuickCheck text-show - ]; - testHaskellDepends = [ - base deepseq hashable mono-traversable mono-traversable-keys - QuickCheck smallcheck tasty tasty-hunit tasty-quickcheck - tasty-smallcheck text-show - ]; - benchmarkHaskellDepends = [ - base criterion deepseq hashable mono-traversable QuickCheck - smallcheck - ]; - description = "Efficient little-endian bit vector library"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "bv-little_1_1_1" = callPackage - ({ mkDerivation, base, criterion, deepseq, hashable, integer-gmp - , keys, mono-traversable, mono-traversable-keys, primitive - , QuickCheck, smallcheck, tasty, tasty-hunit, tasty-quickcheck - , tasty-smallcheck, text-show - }: - mkDerivation { - pname = "bv-little"; version = "1.1.1"; sha256 = "034riqlgkccyl5lvc593v3dyszvqy2vqikk80qm6lw30pkmbcdnr"; libraryHaskellDepends = [ @@ -43948,7 +43957,6 @@ self: { ]; description = "Efficient little-endian bit vector library"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "bv-sized" = callPackage @@ -43980,6 +43988,8 @@ self: { pname = "byline"; version = "0.3.2.1"; sha256 = "196c75i7cz7yww3d5pi5p51wd5bf3a8v8nsb2vkiv25ndwrsb20w"; + revision = "1"; + editedCabalFile = "1bkrhwi851qq6jd3wcajypqi3aha66hsr0jq1h8wgqpgm6mg0abl"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -45812,6 +45822,8 @@ self: { pname = "cabal-test-quickcheck"; version = "0.1.8.2"; sha256 = "04fdfxvgp518x7n6d74l92qh67z94pay4wldy8dv4n51zhkgk8bf"; + revision = "1"; + editedCabalFile = "1q0zs98z8wvr0gzy27ff688fpsgwxjypwjsyzs8v2v6gqd49iwri"; libraryHaskellDepends = [ base Cabal QuickCheck ]; description = "QuickCheck for Cabal"; license = stdenv.lib.licenses.mit; @@ -46390,10 +46402,8 @@ self: { }: mkDerivation { pname = "cairo"; - version = "0.13.6.0"; - sha256 = "0c0b0ffxd9dx4c52ny6im420f6wyjmd822fn6vh561d07nr0y08n"; - revision = "1"; - editedCabalFile = "1mldbaa3qp0nf4jyns1cnadyk8gga2w6hl3y2xdbnbbsjm42s4m9"; + version = "0.13.6.1"; + sha256 = "04vjia6pfx5nkrjvxrjj774gsljmhzlyjm8ir88l32ygry2f0rbg"; enableSeparateDataOutput = true; setupHaskellDepends = [ base Cabal gtk2hs-buildtools ]; libraryHaskellDepends = [ @@ -48157,6 +48167,18 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "category_0_2_4_2" = callPackage + ({ mkDerivation, alg, base, dual, transformers }: + mkDerivation { + pname = "category"; + version = "0.2.4.2"; + sha256 = "112cipa7bnjaj8k9grhxzw7ffkhillgf09qsrp62p1aqsvcrlmf8"; + libraryHaskellDepends = [ alg base dual transformers ]; + description = "Categorical types and classes"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "category-extras" = callPackage ({ mkDerivation, adjunctions, bifunctors, categories, comonad , comonad-extras, comonad-transformers, comonads-fd, contravariant @@ -51166,8 +51188,8 @@ self: { }: mkDerivation { pname = "clash-ghc"; - version = "1.0.0"; - sha256 = "1b216mvw4w0yhpr8bi5j6ra2lfhk6imys10r0pidrpfc8wh779lg"; + version = "1.0.1"; + sha256 = "00g5j3f8j9virq32mmbk8qi6nkjcgagw6n9n8kwv9x3xyl4cpjkq"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -51181,38 +51203,37 @@ self: { executableHaskellDepends = [ base ]; description = "CAES Language for Synchronous Hardware"; license = stdenv.lib.licenses.bsd2; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "clash-lib" = callPackage - ({ mkDerivation, aeson, ansi-terminal, ansi-wl-pprint, attoparsec - , base, binary, bytestring, clash-prelude, concurrent-supply - , containers, data-binary-ieee754, deepseq, directory, errors - , exceptions, filepath, ghc, hashable, hint, integer-gmp + ({ mkDerivation, aeson, ansi-terminal, attoparsec, base, binary + , bytestring, clash-prelude, concurrent-supply, containers + , data-binary-ieee754, deepseq, directory, errors, exceptions + , filepath, ghc, ghc-typelits-knownnat, hashable, hint, integer-gmp , interpolate, lens, mtl, parsers, prettyprinter, primitive - , process, reducers, template-haskell, temporary, text, text-show - , time, transformers, trifecta, unordered-containers, vector - , vector-binary-instances + , process, reducers, tasty, tasty-hunit, template-haskell + , temporary, text, text-show, time, transformers, trifecta + , unordered-containers, vector, vector-binary-instances }: mkDerivation { pname = "clash-lib"; - version = "1.0.0"; - sha256 = "1mh6aj6987i80xkqxw1jrqf0jih7jlgsgf13p30mc1graibxbj95"; + version = "1.0.1"; + sha256 = "0icp6lgn5iix8iqcr2dqcjwx7qzx4r61lxqjjdrkfrj87kxaa9v1"; enableSeparateDataOutput = true; libraryHaskellDepends = [ - aeson ansi-terminal ansi-wl-pprint attoparsec base binary - bytestring clash-prelude concurrent-supply containers - data-binary-ieee754 deepseq directory errors exceptions filepath - ghc hashable hint integer-gmp interpolate lens mtl parsers - prettyprinter primitive process reducers template-haskell temporary - text text-show time transformers trifecta unordered-containers - vector vector-binary-instances + aeson ansi-terminal attoparsec base binary bytestring clash-prelude + concurrent-supply containers data-binary-ieee754 deepseq directory + errors exceptions filepath ghc hashable hint integer-gmp + interpolate lens mtl parsers prettyprinter primitive process + reducers template-haskell temporary text text-show time + transformers trifecta unordered-containers vector + vector-binary-instances + ]; + testHaskellDepends = [ + base clash-prelude ghc ghc-typelits-knownnat lens tasty tasty-hunit ]; description = "CAES Language for Synchronous Hardware - As a Library"; license = stdenv.lib.licenses.bsd2; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "clash-multisignal" = callPackage @@ -51243,10 +51264,8 @@ self: { }: mkDerivation { pname = "clash-prelude"; - version = "1.0.0"; - sha256 = "045818jkl8q3b5rp52fx5znb0d9ai3ny8avls0jmgf7aqbmcwr5k"; - revision = "2"; - editedCabalFile = "0l7scl33mrr0i2208yh5dqbz8afmh9crhf9wlvyz67j1l91sfaqc"; + version = "1.0.1"; + sha256 = "0cqsr561cx27kqrdf56af1ggq4d1wadzlmbx4wm14l4z6vc2579p"; libraryHaskellDepends = [ array base bifunctors binary bytestring constraints containers data-binary-ieee754 data-default-class deepseq ghc-prim @@ -51263,8 +51282,6 @@ self: { ]; description = "CAES Language for Synchronous Hardware - Prelude library"; license = stdenv.lib.licenses.bsd2; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "clash-prelude-quickcheck" = callPackage @@ -52991,8 +53008,8 @@ self: { ({ mkDerivation, array, base, containers }: mkDerivation { pname = "cmu"; - version = "1.11"; - sha256 = "1zldm0j4cxhc3zwxz2zn35mbnrqpjagh3v90akvnjz95jy60z171"; + version = "1.12"; + sha256 = "08a5a6w2qq3fbvrjkhk8dzansv7rs81bamyi383wr48qxlx2vlsb"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ array base containers ]; @@ -54041,10 +54058,8 @@ self: { }: mkDerivation { pname = "colour-space"; - version = "0.1.5.0"; - sha256 = "13xmn8l11r06xna575sw6sdhp2rj25q8qd9ljbjpl37fr1qbc8xs"; - revision = "1"; - editedCabalFile = "0vz6yxwllvrcw6dbrpam8rbadzwzk3nab9skzigcnrkqi4y068wz"; + version = "0.1.5.1"; + sha256 = "18aqmppmq3czq38yn3rdblj6klvnax64jbkc524v9lsj45d7si43"; libraryHaskellDepends = [ base call-stack colour constrained-categories JuicyPixels lens linear linearmap-category manifolds semigroups vector-space @@ -57456,6 +57471,19 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "constraint-tuples" = callPackage + ({ mkDerivation, base }: + mkDerivation { + pname = "constraint-tuples"; + version = "0.1"; + sha256 = "0mpbkwq45a4l0bg9sqs0jyadnxz82rxqgrzb8jkn9n779xm2mhpn"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ base ]; + description = "Partially applicable constraint tuples"; + license = stdenv.lib.licenses.bsd3; + }) {}; + "constraints" = callPackage ({ mkDerivation, base, binary, deepseq, ghc-prim, hashable, hspec , hspec-discover, mtl, semigroups, transformers @@ -57779,6 +57807,27 @@ self: { broken = true; }) {}; + "context-free-art" = callPackage + ({ mkDerivation, base, bifunctors, blaze-markup, blaze-svg, extra + , HUnit, random, text, text-show + }: + mkDerivation { + pname = "context-free-art"; + version = "0.2.0.0"; + sha256 = "1ljkyhx2vb3nf5kv3fkj2jin5bdi284vzsqnmbha34dpykg5m873"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + base bifunctors blaze-markup blaze-svg extra random text text-show + ]; + executableHaskellDepends = [ + base bifunctors blaze-markup blaze-svg extra HUnit random text + text-show + ]; + description = "Generate art from context-free grammars"; + license = stdenv.lib.licenses.bsd3; + }) {}; + "context-free-grammar" = callPackage ({ mkDerivation, array, base, containers, control-monad-omega , dlist, HUnit, mtl, pretty, QuickCheck, quickcheck-properties @@ -58734,6 +58783,28 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "core-program_0_2_1_0" = callPackage + ({ mkDerivation, async, base, bytestring, chronologique, core-data + , core-text, directory, exceptions, hashable, hourglass, mtl + , prettyprinter, prettyprinter-ansi-terminal, safe-exceptions, stm + , template-haskell, terminal-size, text, text-short, transformers + , unix + }: + mkDerivation { + pname = "core-program"; + version = "0.2.1.0"; + sha256 = "0x3h09gqnm72j7m44ssnrh2mcpdk8j1hlg6cq61p8s6ddwdn1nxn"; + libraryHaskellDepends = [ + async base bytestring chronologique core-data core-text directory + exceptions hashable hourglass mtl prettyprinter + prettyprinter-ansi-terminal safe-exceptions stm template-haskell + terminal-size text text-short transformers unix + ]; + description = "Opinionated Haskell Interoperability"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "core-text" = callPackage ({ mkDerivation, base, bytestring, deepseq, fingertree, hashable , prettyprinter, prettyprinter-ansi-terminal, template-haskell @@ -60551,27 +60622,6 @@ self: { }: mkDerivation { pname = "crypto-enigma"; - version = "0.1.1.5"; - sha256 = "001kl84ngfwr644v7dyk5shkqfw606bpza8b61bv4p4lqyfk96l2"; - revision = "1"; - editedCabalFile = "0ld72k1zq13vg1xh75qj1zrrrc4w5fzj0jv7idrynybjwmhvq92n"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ base containers split text ]; - executableHaskellDepends = [ - ansi-terminal base containers optparse-applicative split text - ]; - testHaskellDepends = [ base HUnit QuickCheck ]; - description = "An Enigma machine simulator with display"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "crypto-enigma_0_1_1_6" = callPackage - ({ mkDerivation, ansi-terminal, base, containers, HUnit - , optparse-applicative, QuickCheck, split, text - }: - mkDerivation { - pname = "crypto-enigma"; version = "0.1.1.6"; sha256 = "07qxrpwg9r2w2l0d2nrvn703vzsfhchznly93bnr2pfpbwj4iv2z"; isLibrary = true; @@ -60583,7 +60633,6 @@ self: { testHaskellDepends = [ base HUnit QuickCheck ]; description = "An Enigma machine simulator with display"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "crypto-multihash" = callPackage @@ -61157,8 +61206,8 @@ self: { }: mkDerivation { pname = "cryptostore"; - version = "0.2.0.0"; - sha256 = "1j7pk42mivwlcrjyrjdkldidhsv3k5myqq0ld8sksgkbkn1k1z7w"; + version = "0.2.1.0"; + sha256 = "03y9xdjnraa7kyj3c79rqzw9lqw1csww21assxhjkjyid1ps4gn5"; libraryHaskellDepends = [ asn1-encoding asn1-types base basement bytestring cryptonite hourglass memory pem x509 x509-validation @@ -65964,6 +66013,8 @@ self: { pname = "debian"; version = "4.0.0"; sha256 = "1gshvsgbgghskl4csngffdpnf49z27yhap86bwqnkp4asbh553h6"; + revision = "1"; + editedCabalFile = "1p338790mb39j77rf9r82sbwlkv7ax2ipfl5232aa8ygzn2py6nk"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -68127,8 +68178,8 @@ self: { pname = "dhall-text"; version = "1.0.18"; sha256 = "1nwvj67glqyn5yd62ni16wqppv8d3hy7d9aw87p35zkch1vr8vsd"; - revision = "1"; - editedCabalFile = "1dynw76kmca5l43jqrmgmzbdd7hqixiyfpb4pcx4dzr6ghar49s7"; + revision = "2"; + editedCabalFile = "0pzd0rp2vzskwbplkqgq4783793c6zfwm46jsn2y8da452prbyhh"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ @@ -73408,8 +73459,8 @@ self: { ({ mkDerivation, base }: mkDerivation { pname = "dual"; - version = "0.1.0.0"; - sha256 = "02abbnynjxhr2dvqqph3mnzc24v9wc655qkhh70flc168dk0k6hr"; + version = "0.1.0.2"; + sha256 = "08daga1lh267vj2y98z730zlrqxp7f8yqkrnpwbz3gfci7qzd8pa"; libraryHaskellDepends = [ base ]; description = "Dual category"; license = stdenv.lib.licenses.bsd3; @@ -74092,10 +74143,8 @@ self: { }: mkDerivation { pname = "dynamic-plot"; - version = "0.4.0.0"; - sha256 = "1klq7lwvfwwp6z842g50a2xx6cqihp8a6551f935nr2sx9hwdr94"; - revision = "1"; - editedCabalFile = "0x5jxjvwfkax6lxwk28hd2p903q6zmr1nxhyahrwcnqgh6ygywiw"; + version = "0.4.1.0"; + sha256 = "1nly6ra0kd72q42hiaqism94vmyg5hp4ppyd024iiq9pcbgpq7r6"; libraryHaskellDepends = [ base colour colour-space constrained-categories containers data-default deepseq diagrams-cairo diagrams-core diagrams-gtk @@ -75587,8 +75636,6 @@ self: { testHaskellDepends = [ base ]; description = "Easily expose your EKG metrics to Prometheus"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "ekg-push" = callPackage @@ -76686,7 +76733,7 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; - "emd_0_1_8_1" = callPackage + "emd_0_1_9_0" = callPackage ({ mkDerivation, array, base, binary, carray, containers, criterion , data-default-class, deepseq, fft, finite-typelits , ghc-typelits-knownnat, ghc-typelits-natnormalise, HUnit @@ -76695,8 +76742,8 @@ self: { }: mkDerivation { pname = "emd"; - version = "0.1.8.1"; - sha256 = "1dijiqcql8n6an1hl8jvm487jqi7v9ji27lgas3ychc65lw7xwca"; + version = "0.1.9.0"; + sha256 = "029yfa9246vk2i2bmiq7vxmfkc04samfnnsjxaid4xsnmwf03yll"; libraryHaskellDepends = [ array base binary carray containers data-default-class deepseq fft finite-typelits ghc-typelits-knownnat ghc-typelits-natnormalise @@ -78279,7 +78326,7 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; - "esqueleto_3_1_0" = callPackage + "esqueleto_3_1_1" = callPackage ({ mkDerivation, aeson, base, blaze-html, bytestring, conduit , containers, exceptions, hspec, monad-logger, mysql, mysql-simple , persistent, persistent-mysql, persistent-postgresql @@ -78289,8 +78336,8 @@ self: { }: mkDerivation { pname = "esqueleto"; - version = "3.1.0"; - sha256 = "0x3hrh5ymv19l52634q18hsmxjranngc32ig6b2lbd5lz8d6iigy"; + version = "3.1.1"; + sha256 = "0yxa5z615cn35462y0s6bp62j1mi7xkr46xj6mcw98536vsjxpgy"; libraryHaskellDepends = [ aeson base blaze-html bytestring conduit monad-logger persistent resourcet tagged text time transformers unliftio @@ -79327,8 +79374,8 @@ self: { }: mkDerivation { pname = "eventstore"; - version = "1.3.2"; - sha256 = "1a5nxx9w56x1ddnncnc576x9282jlmksqn6gscmavmaj7i9nfplg"; + version = "1.3.3"; + sha256 = "0xf67ywg2f1wj75s82s2lsi2zgvk1xqhl09c4hnl45qzslkbl2xp"; libraryHaskellDepends = [ aeson array base bifunctors bytestring cereal clock connection containers dns dotnet-timespan ekg-core exceptions fast-logger @@ -83758,16 +83805,16 @@ self: { "finitary" = callPackage ({ mkDerivation, base, bitvec, coercible-utils, finite-typelits , ghc-typelits-knownnat, ghc-typelits-natnormalise, hedgehog - , monad-loops, mtl, primitive, template-haskell, typelits-witnesses + , monad-loops, primitive, template-haskell, typelits-witnesses , vector, vector-sized }: mkDerivation { pname = "finitary"; - version = "1.1.0.1"; - sha256 = "13rvwymzsl91zwvc565ysakn8lznwwfnrg0d4vxvlqqrrkbkca1p"; + version = "1.2.0.0"; + sha256 = "1r9knjg3vypzyvlawl5pysvsjv7vf6cadh8d5kqqj6xsk3qdnnp5"; libraryHaskellDepends = [ base bitvec coercible-utils finite-typelits ghc-typelits-knownnat - ghc-typelits-natnormalise mtl primitive template-haskell + ghc-typelits-natnormalise primitive template-haskell typelits-witnesses vector vector-sized ]; testHaskellDepends = [ @@ -89056,6 +89103,8 @@ self: { pname = "futhark"; version = "0.12.1"; sha256 = "00f95mhw6z5hz5jg6mnpgklkm548gp6nr3c49qhr661n8xl1fpyr"; + revision = "2"; + editedCabalFile = "0ic85nm7a76n0cixy991kblhgwk1853d1k5py6jg4k8qz0hvnja4"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -90824,13 +90873,13 @@ self: { license = stdenv.lib.licenses.mit; }) {}; - "generics-mrsop_2_2_0" = callPackage + "generics-mrsop_2_3_0" = callPackage ({ mkDerivation, base, containers, mtl, sop-core, template-haskell }: mkDerivation { pname = "generics-mrsop"; - version = "2.2.0"; - sha256 = "0ni0pvwgpb1a8irgxn20hv2pxn2mx0d40s3raz9v38vyiyb6l05x"; + version = "2.3.0"; + sha256 = "1jcjsvzd1wgdcclw54ic5d674y6kmnyiwjkz1k3fxpv75x3xc3zq"; libraryHaskellDepends = [ base containers mtl sop-core template-haskell ]; @@ -90843,10 +90892,10 @@ self: { ({ mkDerivation, base, generics-mrsop }: mkDerivation { pname = "generics-mrsop-gdiff"; - version = "0.0.1"; - sha256 = "0r5i9m07bl3m0m0vkzf38dddp0bjwg4lpyiyhjysb0s5sw4djrnh"; + version = "0.0.2"; + sha256 = "01fkfk18h8dpl6w3ipx85ay9qj8s56xl7022ids21a0slyc4ml4s"; libraryHaskellDepends = [ base generics-mrsop ]; - description = "Reimplementation of the `gdiff` algorithm for `generics-mrsop`"; + description = "Reimplementation of the gdiff algorithm for generics-mrsop"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; broken = true; @@ -92128,12 +92177,12 @@ self: { }) {}; "ghc-datasize" = callPackage - ({ mkDerivation, base, deepseq, ghc-heap-view }: + ({ mkDerivation, base, deepseq, ghc-heap, ghc-prim }: mkDerivation { pname = "ghc-datasize"; - version = "0.2.1"; - sha256 = "0qsh4m6vif07nd0r5lbwggqrlykmlnspdx1jwzzhz6mk1hcf914d"; - libraryHaskellDepends = [ base deepseq ghc-heap-view ]; + version = "0.2.2"; + sha256 = "19iapv0m2g7d5i88pg9h19r89hafwj5f3h5682sp37irl4mzwkww"; + libraryHaskellDepends = [ base deepseq ghc-heap ghc-prim ]; description = "Determine the size of data structures in GHC's memory"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; @@ -92901,8 +92950,8 @@ self: { }: mkDerivation { pname = "ghc-source-gen"; - version = "0.2.0.1"; - sha256 = "1diz1vrhxx8ppj4jljzfwlrg059kdcz20ba635f7hq4kpp0blbjy"; + version = "0.3.0.0"; + sha256 = "1r9mnwwbpc1bzjcbs5q58wrjnwjrsbcvmcv1khswchcfim12lqqk"; libraryHaskellDepends = [ base ghc ]; testHaskellDepends = [ base ghc ghc-paths QuickCheck tasty tasty-hunit tasty-quickcheck @@ -93178,6 +93227,17 @@ self: { broken = true; }) {}; + "ghcflags" = callPackage + ({ mkDerivation, base, directory, ghc }: + mkDerivation { + pname = "ghcflags"; + version = "1.0.0"; + sha256 = "0dprknv4q4n7f29bdnng033klmq7bh6g5yd8v9y1vzr58x3xdzrv"; + libraryHaskellDepends = [ base directory ghc ]; + description = "Dump the ghc flags during compilation"; + license = stdenv.lib.licenses.bsd2; + }) {}; + "ghci_8_6_5" = callPackage ({ mkDerivation, array, base, binary, bytestring, containers , deepseq, filepath, ghc-boot, ghc-boot-th, ghc-heap @@ -93345,8 +93405,8 @@ self: { }: mkDerivation { pname = "ghcid"; - version = "0.7.5"; - sha256 = "0ics4ibkr9p8pd81hfr7wk1wi10rjbsmwqcln8sda61p9v46pdh4"; + version = "0.7.6"; + sha256 = "0ic4v2l2mlcbgshd2p3834mdzagprc2bqjhnm9z38yvwj4bjgp5r"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -94882,8 +94942,8 @@ self: { }: mkDerivation { pname = "gio"; - version = "0.13.6.0"; - sha256 = "1ck92sy9j3jrwi2w6a0nslz92aczznsz96rdqq8v78rqqislpirm"; + version = "0.13.6.1"; + sha256 = "0lj913029v0h035lri2mkkd8ypc3asb30igj5rrcs6miwhfkmbcf"; enableSeparateDataOutput = true; setupHaskellDepends = [ base Cabal gtk2hs-buildtools ]; libraryHaskellDepends = [ @@ -95029,8 +95089,8 @@ self: { }: mkDerivation { pname = "git-annex"; - version = "7.20191009"; - sha256 = "10ycvjl9b3aa81zdz239ngjbbambfjrzds1a23wdlbjkn12nsg4g"; + version = "7.20191017"; + sha256 = "1ydccqqn8v9jns25r6cyz6nd9w80x9wgw85x1v0z6mhv00l2ifp0"; configureFlags = [ "-fassistant" "-f-benchmark" "-fdbus" "-f-debuglocks" "-fmagicmime" "-f-networkbsd" "-fpairing" "-fproduction" "-fs3" "-ftorrentparser" @@ -96470,8 +96530,8 @@ self: { }: mkDerivation { pname = "glib"; - version = "0.13.7.0"; - sha256 = "06hdn8mprq6xclp8xfr1iqx82i0nhims3dhjblqn26ig5fdidjbw"; + version = "0.13.7.1"; + sha256 = "1h15ir53wc8l5cp09kr71nhk0zn39nm20bmhx2adgp2m68mj5ypp"; setupHaskellDepends = [ base Cabal gtk2hs-buildtools ]; libraryHaskellDepends = [ base bytestring containers text utf8-string @@ -100595,8 +100655,8 @@ self: { }: mkDerivation { pname = "grammatical-parsers"; - version = "0.4.1"; - sha256 = "1hnn3k78hl7kd6dxv45l5lljva1jzv9akpa7jgr22a3mjccf65sr"; + version = "0.4.1.1"; + sha256 = "1gxpkh5frf7yfy0121m4353s4yy6cjpcjpc827skkhgfjl42jqk5"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -101210,20 +101270,24 @@ self: { "graphql-api" = callPackage ({ mkDerivation, aeson, attoparsec, base, containers, criterion , directory, doctest, exceptions, ghc-prim, hspec, protolude - , QuickCheck, raw-strings-qq, scientific, tasty, tasty-hspec, text - , transformers + , QuickCheck, raw-strings-qq, scientific, text, transformers }: mkDerivation { pname = "graphql-api"; - version = "0.3.0"; - sha256 = "1rn47xxyz3wkflz2ji0d496r8w0jcf1a0al14gclflbyd4bzkpwy"; + version = "0.4.0"; + sha256 = "0sy7k3bhks91kb6mx0ws7kwrsd44fmwdyj0l3a2jnq6jk492wl7f"; + isLibrary = true; + isExecutable = true; libraryHaskellDepends = [ aeson attoparsec base containers exceptions ghc-prim protolude QuickCheck scientific text transformers ]; + executableHaskellDepends = [ + aeson attoparsec base exceptions protolude transformers + ]; testHaskellDepends = [ aeson attoparsec base containers directory doctest exceptions hspec - protolude QuickCheck raw-strings-qq tasty tasty-hspec transformers + protolude QuickCheck raw-strings-qq transformers ]; benchmarkHaskellDepends = [ attoparsec base criterion exceptions protolude transformers @@ -102433,8 +102497,8 @@ self: { }: mkDerivation { pname = "gtk"; - version = "0.15.2"; - sha256 = "179h4vpbv15hkl8h4k4jy5amnv1z6zv74qls0m7l2kv6sh36csar"; + version = "0.15.3"; + sha256 = "0lbf79zfpnz273g7ycr8j7im3bsyzn1d5r9mfv6k80nwzr43dw51"; enableSeparateDataOutput = true; setupHaskellDepends = [ base Cabal gtk2hs-buildtools ]; libraryHaskellDepends = [ @@ -102617,8 +102681,8 @@ self: { }: mkDerivation { pname = "gtk2hs-buildtools"; - version = "0.13.5.1"; - sha256 = "0b941qm4rj9xd5g04hzagdhn5i30n542izr15x89giardr8f95iz"; + version = "0.13.5.4"; + sha256 = "1flxsacxwmabzzalhn8558kmj95z01c0lmikrn56nxh7p62nxm25"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -102771,8 +102835,8 @@ self: { }: mkDerivation { pname = "gtk3"; - version = "0.15.1"; - sha256 = "0kya9ag8c7zl8sqx32hmlmz9rpxghvyr2svzcr38lzizfd74bnpq"; + version = "0.15.3"; + sha256 = "10xkgmgncnwdy0f9f1bkcw68msp575zcwkncw9d2nqpa5cdh2qx7"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -105543,8 +105607,8 @@ self: { }: mkDerivation { pname = "hakyll-filestore"; - version = "0.1.6"; - sha256 = "15w52lh2z68qi9f1xgqvwgnzix1ryspbnrd9vrmllcdp9g1kci62"; + version = "0.1.7"; + sha256 = "1xwx58dpwzvl4nk92k357bwxl88wvngkicj4d266gmxmq6j4pg52"; libraryHaskellDepends = [ base filestore hakyll time time-locale-compat ]; @@ -109120,7 +109184,7 @@ self: { license = stdenv.lib.licenses.mit; }) {}; - "haskell-lsp_0_16_0_0" = callPackage + "haskell-lsp_0_17_0_0" = callPackage ({ mkDerivation, aeson, async, attoparsec, base, bytestring , containers, data-default, directory, filepath, hashable , haskell-lsp-types, hslogger, hspec, hspec-discover, lens, mtl @@ -109129,8 +109193,8 @@ self: { }: mkDerivation { pname = "haskell-lsp"; - version = "0.16.0.0"; - sha256 = "1s04lfnb3c0g9bkwp4j7j59yw8ypps63dq27ayybynrfci4bpj95"; + version = "0.17.0.0"; + sha256 = "17c95ndm6jadab04q707pmv6x9cwshk463c8gc9ai0m7763win7x"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -109189,15 +109253,15 @@ self: { license = stdenv.lib.licenses.mit; }) {}; - "haskell-lsp-types_0_16_0_0" = callPackage + "haskell-lsp-types_0_17_0_0" = callPackage ({ mkDerivation, aeson, base, bytestring, data-default, deepseq , filepath, hashable, lens, network-uri, scientific, text , unordered-containers }: mkDerivation { pname = "haskell-lsp-types"; - version = "0.16.0.0"; - sha256 = "14wlv54ydbddpw6cwgykcas3rb55w7m78q0s1wdbi594wg1bscqg"; + version = "0.17.0.0"; + sha256 = "03i3s7f82imv4ysclhjv07mcs0dl3m5nmjxmfa5wafircai4yi3p"; libraryHaskellDepends = [ aeson base bytestring data-default deepseq filepath hashable lens network-uri scientific text unordered-containers @@ -111110,32 +111174,6 @@ self: { }: mkDerivation { pname = "haskoin-core"; - version = "0.9.1"; - sha256 = "1jpq7dz6gfpgvjgrss4cwgrjmicw9yyfrsfnfq980cjw7h0inc41"; - libraryHaskellDepends = [ - aeson array base base16-bytestring bytestring cereal conduit - containers cryptonite entropy hashable memory mtl murmur3 network - QuickCheck scientific secp256k1-haskell split string-conversions - text time transformers unordered-containers vector - ]; - testHaskellDepends = [ - aeson base bytestring cereal containers hspec HUnit mtl QuickCheck - safe split string-conversions text unordered-containers vector - ]; - testToolDepends = [ hspec-discover ]; - description = "Bitcoin & Bitcoin Cash library for Haskell"; - license = stdenv.lib.licenses.publicDomain; - }) {}; - - "haskoin-core_0_9_2" = callPackage - ({ mkDerivation, aeson, array, base, base16-bytestring, bytestring - , cereal, conduit, containers, cryptonite, entropy, hashable, hspec - , hspec-discover, HUnit, memory, mtl, murmur3, network, QuickCheck - , safe, scientific, secp256k1-haskell, split, string-conversions - , text, time, transformers, unordered-containers, vector - }: - mkDerivation { - pname = "haskoin-core"; version = "0.9.2"; sha256 = "18iscx2pzc80p1d6b3lrm5hvv877lsk7ay4iyknd091v8dw9lgm9"; libraryHaskellDepends = [ @@ -111151,7 +111189,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Bitcoin & Bitcoin Cash library for Haskell"; license = stdenv.lib.licenses.publicDomain; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "haskoin-crypto" = callPackage @@ -116433,42 +116470,115 @@ self: { }) {}; "hgeometry" = callPackage - ({ mkDerivation, aeson, approximate-equality, array, base - , bifunctors, bytestring, colour, containers, contravariant - , criterion, data-clist, deepseq, deepseq-generics, directory - , dlist, doctest, filepath, fingertree, fixed-vector, hexpat, hspec - , hspec-discover, lens, linear, mtl, optparse-applicative, parsec - , profunctors, QuickCheck, quickcheck-instances, random, reflection - , semigroupoids, semigroups, singletons, template-haskell, text - , vector, vinyl, yaml + ({ mkDerivation, aeson, base, bifunctors, bytestring, containers + , data-clist, deepseq, dlist, doctest, doctest-discover, fingertree + , fixed-vector, hgeometry-combinatorial, lens, linear, MonadRandom + , mtl, QuickCheck, quickcheck-instances, reflection, semigroupoids + , semigroups, singletons, template-haskell, text, vector + , vector-builder, vinyl, yaml }: mkDerivation { pname = "hgeometry"; - version = "0.8.0.0"; - sha256 = "0hypd5936kssw435lcvqj9d7whdzfdfbhvi5hhbi90k5x89xfx6f"; - revision = "1"; - editedCabalFile = "1hln65kfw2ji43pkwipyg12i0lq9ly6p3hv2xd7vzp4pzkcpy3zz"; - isLibrary = true; - isExecutable = true; + version = "0.9.0.0"; + sha256 = "1s9hmknrqdsrfda5l8qjs85qhq6lm8vfkd54dnkbg67xk42z0y1m"; enableSeparateDataOutput = true; libraryHaskellDepends = [ - aeson base bifunctors bytestring colour containers contravariant - data-clist deepseq dlist fingertree fixed-vector hexpat lens linear - mtl parsec profunctors QuickCheck quickcheck-instances random - reflection semigroupoids semigroups singletons template-haskell - text vector vinyl yaml + aeson base bifunctors bytestring containers data-clist deepseq + dlist fingertree fixed-vector hgeometry-combinatorial lens linear + MonadRandom mtl QuickCheck quickcheck-instances reflection + semigroupoids semigroups singletons template-haskell text vector + vector-builder vinyl yaml + ]; + testHaskellDepends = [ base doctest doctest-discover QuickCheck ]; + description = "Geometric Algorithms, Data structures, and Data types"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + broken = true; + }) {}; + + "hgeometry-combinatorial" = callPackage + ({ mkDerivation, aeson, approximate-equality, base, bifunctors + , bytestring, containers, contravariant, data-clist, deepseq + , directory, dlist, doctest, filepath, fingertree, hspec + , hspec-discover, lens, linear, MonadRandom, mtl, QuickCheck + , quickcheck-instances, random, reflection, semigroupoids + , semigroups, singletons, template-haskell, text, vector + , vector-builder, vinyl, yaml + }: + mkDerivation { + pname = "hgeometry-combinatorial"; + version = "0.9.0.0"; + sha256 = "0c9byfg6x1ch1812s6kf9w1vkrhzffqw6asllhln95f6cvsz58z0"; + enableSeparateDataOutput = true; + libraryHaskellDepends = [ + aeson base bifunctors bytestring containers contravariant + data-clist deepseq dlist fingertree lens MonadRandom mtl QuickCheck + quickcheck-instances reflection semigroupoids semigroups singletons + template-haskell text vector vector-builder vinyl yaml ]; testHaskellDepends = [ - approximate-equality array base bytestring colour containers - data-clist directory doctest filepath hspec lens linear QuickCheck + approximate-equality base bytestring containers data-clist + directory doctest filepath hspec lens linear MonadRandom QuickCheck quickcheck-instances random semigroups singletons vector vinyl yaml ]; testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ - base bytestring containers criterion deepseq deepseq-generics - fixed-vector lens linear optparse-applicative QuickCheck semigroups + description = "Data structures, and Data types"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + broken = true; + }) {}; + + "hgeometry-ipe" = callPackage + ({ mkDerivation, aeson, approximate-equality, base, bifunctors + , bytestring, colour, containers, data-clist, deepseq, directory + , dlist, filepath, fingertree, fixed-vector, hexpat, hgeometry + , hgeometry-combinatorial, hspec, hspec-discover, lens, linear + , MonadRandom, mtl, parsec, QuickCheck, quickcheck-instances + , random, reflection, semigroupoids, semigroups, singletons + , template-haskell, text, vector, vinyl, yaml + }: + mkDerivation { + pname = "hgeometry-ipe"; + version = "0.9.0.0"; + sha256 = "1lj5yvxlgn8q1z2ad4ais7cksflsbyag9zdz6vii9r680swr0ib7"; + enableSeparateDataOutput = true; + libraryHaskellDepends = [ + aeson base bifunctors bytestring colour containers data-clist + deepseq dlist fingertree fixed-vector hexpat hgeometry + hgeometry-combinatorial lens linear MonadRandom mtl parsec + QuickCheck quickcheck-instances random reflection semigroupoids + semigroups singletons template-haskell text vector vinyl yaml ]; - description = "Geometric Algorithms, Data structures, and Data types"; + testHaskellDepends = [ + approximate-equality base bytestring colour containers data-clist + directory filepath hgeometry hgeometry-combinatorial hspec lens + linear MonadRandom QuickCheck quickcheck-instances random + semigroups singletons vector vinyl yaml + ]; + testToolDepends = [ hspec-discover ]; + description = "Reading and Writing ipe7 files"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + broken = true; + }) {}; + + "hgeometry-svg" = callPackage + ({ mkDerivation, base, blaze-markup, blaze-svg, bytestring, deepseq + , doctest, hgeometry, hgeometry-combinatorial, hgeometry-ipe, lens + , QuickCheck, semigroupoids, semigroups, singletons + , template-haskell, text, vinyl + }: + mkDerivation { + pname = "hgeometry-svg"; + version = "0.9.0.0"; + sha256 = "1aa1qgmq1qvbj8c06nmlvdk3yy9iflas7mz7icfbn9m77zgj1qvs"; + libraryHaskellDepends = [ + base blaze-markup blaze-svg bytestring deepseq hgeometry + hgeometry-combinatorial hgeometry-ipe lens QuickCheck semigroupoids + semigroups singletons template-haskell text vinyl + ]; + testHaskellDepends = [ base doctest ]; + description = "Writing geometric primitives from HGeometry as SVG Files"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; broken = true; @@ -118232,27 +118342,6 @@ self: { }) {}; "hjsmin" = callPackage - ({ mkDerivation, base, blaze-builder, bytestring, containers - , language-javascript, optparse-applicative, text - }: - mkDerivation { - pname = "hjsmin"; - version = "0.2.0.2"; - sha256 = "112lj2jgbcfdnr0hxc2cfxpxzxy9qyid04pbk0wwcqk977957hdy"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ - base blaze-builder bytestring containers language-javascript text - ]; - executableHaskellDepends = [ - base blaze-builder bytestring containers language-javascript - optparse-applicative text - ]; - description = "Haskell implementation of a javascript minifier"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hjsmin_0_2_0_3" = callPackage ({ mkDerivation, base, bytestring, directory, extra, filepath , language-javascript, optparse-applicative, process, text, unix }: @@ -118273,7 +118362,6 @@ self: { ]; description = "Haskell implementation of a javascript minifier"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hjson" = callPackage @@ -118701,8 +118789,8 @@ self: { }: mkDerivation { pname = "hledger-flow"; - version = "0.12.3.1"; - sha256 = "1a7idnrqjn7pcvskm1yd9ynlvsza9ql3qfd8d8ycb6z8gpc30fq0"; + version = "0.12.4.0"; + sha256 = "1j5hhh06xrdzdpzvvk8gg2mzhsmyk9xvxbpvjy0sg3mmpa27vr6f"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -119756,6 +119844,27 @@ self: { broken = true; }) {inherit (pkgs) ncurses;}; + "hmp3-ng" = callPackage + ({ mkDerivation, array, base, binary, bytestring, containers + , directory, hscurses, mersenne-random, mtl, ncurses, old-time + , pcre-light, process, unix, utf8-string, zlib + }: + mkDerivation { + pname = "hmp3-ng"; + version = "2.4.2"; + sha256 = "0cpazssifginilyr7b7ysipkjfylpqgmk4fyl03y0c5ajsjds21r"; + isLibrary = false; + isExecutable = true; + executableHaskellDepends = [ + array base binary bytestring containers directory hscurses + mersenne-random mtl old-time pcre-light process unix utf8-string + zlib + ]; + executableSystemDepends = [ ncurses ]; + description = "A 2019 fork of an ncurses mp3 player written in Haskell"; + license = "GPL"; + }) {inherit (pkgs) ncurses;}; + "hmpfr" = callPackage ({ mkDerivation, base, integer-gmp, mpfr }: mkDerivation { @@ -120117,6 +120226,27 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "hoauth2_1_9_0" = callPackage + ({ mkDerivation, aeson, base, binary, bytestring, exceptions + , http-conduit, http-types, microlens, text, unordered-containers + , uri-bytestring, uri-bytestring-aeson + }: + mkDerivation { + pname = "hoauth2"; + version = "1.9.0"; + sha256 = "1br1g6xp0s73aj8nsx3rjdpji3lvp19b4xaxhn87fqbnnhczg39z"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson base binary bytestring exceptions http-conduit http-types + microlens text unordered-containers uri-bytestring + uri-bytestring-aeson + ]; + description = "Haskell OAuth2 authentication client"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "hob" = callPackage ({ mkDerivation, base, bytestring, containers, directory, filepath , glib, gtk-largeTreeStore, gtk3, gtksourceview3, hspec, mtl, pango @@ -122364,19 +122494,20 @@ self: { "hprox" = callPackage ({ mkDerivation, async, base, base64-bytestring, binary, bytestring , case-insensitive, conduit, conduit-extra, http-client - , http-reverse-proxy, http-types, optparse-applicative, tls, unix - , wai, wai-extra, warp, warp-tls + , http-client-tls, http-reverse-proxy, http-types + , optparse-applicative, tls, unix, wai, wai-extra, warp, warp-tls }: mkDerivation { pname = "hprox"; - version = "0.1.0.2"; - sha256 = "0ajyv9zmi6nr8add7admfxavd691g3rvyy8ll6gra6hhpn0lwp0m"; + version = "0.1.1"; + sha256 = "0r8sbi4g49r95pxmm81q291nlixj4wi4m6qrq5wkjp8yrrm2s9gb"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ async base base64-bytestring binary bytestring case-insensitive - conduit conduit-extra http-client http-reverse-proxy http-types - optparse-applicative tls unix wai wai-extra warp warp-tls + conduit conduit-extra http-client http-client-tls + http-reverse-proxy http-types optparse-applicative tls unix wai + wai-extra warp warp-tls ]; description = "a lightweight HTTP proxy server, and more"; license = stdenv.lib.licenses.asl20; @@ -123499,20 +123630,19 @@ self: { }) {}; "hs2ats" = callPackage - ({ mkDerivation, ansi-wl-pprint, base, casing, composition-prelude - , cpphs, criterion, deepseq, haskell-src-exts, hspec - , hspec-dirstream, language-ats, microlens, optparse-generic - , system-filepath + ({ mkDerivation, ansi-wl-pprint, base, casing, cpphs, criterion + , deepseq, haskell-src-exts, hspec, hspec-dirstream, language-ats + , microlens, optparse-generic, system-filepath }: mkDerivation { pname = "hs2ats"; - version = "0.5.0.0"; - sha256 = "0ga90mkz11iis5knd51dqpqd4qyj6fwl15nbdbwzlynpk0wsdsga"; + version = "0.5.0.1"; + sha256 = "01xjk00rijrhixpmpl3x6m3vsvm1zyizrp3iigz13w80ivj1hpdk"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ - ansi-wl-pprint base casing composition-prelude cpphs deepseq - haskell-src-exts language-ats microlens + ansi-wl-pprint base casing cpphs deepseq haskell-src-exts + language-ats microlens ]; executableHaskellDepends = [ base optparse-generic ]; testHaskellDepends = [ @@ -125016,16 +125146,19 @@ self: { }) {}; "hsinspect" = callPackage - ({ mkDerivation, base, directory, ghc, ghc-boot, ghc-paths, time }: + ({ mkDerivation, base, containers, directory, ghc, ghc-boot, time + }: mkDerivation { pname = "hsinspect"; - version = "0.0.3"; - sha256 = "11jn8knnh859wvzfqljkhlsaqsrm20m4ryf3ncg7rhs6jcm3vknr"; + version = "0.0.6"; + sha256 = "0q6yk5cy6bbmfsg0ygmjm23bfwlqby2fffw83bimyk97byzckna4"; isLibrary = true; isExecutable = true; - libraryHaskellDepends = [ base directory ghc ghc-boot time ]; + libraryHaskellDepends = [ + base containers directory ghc ghc-boot time + ]; executableHaskellDepends = [ - base directory ghc ghc-boot ghc-paths time + base containers directory ghc ghc-boot time ]; description = "Inspect Haskell source files"; license = stdenv.lib.licenses.gpl3Plus; @@ -125862,6 +125995,23 @@ self: { license = stdenv.lib.licenses.mit; }) {}; + "hspec-expectations-pretty-diff_0_7_2_5" = callPackage + ({ mkDerivation, aeson, ansi-terminal, base, Diff, hscolour, hspec + , HUnit, nicify-lib, text + }: + mkDerivation { + pname = "hspec-expectations-pretty-diff"; + version = "0.7.2.5"; + sha256 = "0432mca3k7rpdyykw9cz402zax6h42d1vsgbi0dsy1qwhlg2aycl"; + libraryHaskellDepends = [ + ansi-terminal base Diff hscolour HUnit nicify-lib text + ]; + testHaskellDepends = [ aeson base hspec HUnit text ]; + description = "Catchy combinators for HUnit"; + license = stdenv.lib.licenses.mit; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "hspec-experimental" = callPackage ({ mkDerivation, base, hspec, hspec-meta, HUnit, QuickCheck }: mkDerivation { @@ -128305,34 +128455,6 @@ self: { }: mkDerivation { pname = "http-conduit"; - version = "2.3.7.2"; - sha256 = "16w77zm1pacs2qgz8sr0g935jjd3gmkhrw0gm9pijalaqbliffn9"; - libraryHaskellDepends = [ - aeson attoparsec base bytestring conduit conduit-extra http-client - http-client-tls http-types mtl resourcet transformers unliftio-core - ]; - testHaskellDepends = [ - aeson base blaze-builder bytestring case-insensitive conduit - conduit-extra connection cookie data-default-class hspec - http-client http-types HUnit network resourcet streaming-commons - temporary text time transformers unliftio utf8-string wai - wai-conduit warp warp-tls - ]; - doCheck = false; - description = "HTTP client package with conduit interface and HTTPS support"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "http-conduit_2_3_7_3" = callPackage - ({ mkDerivation, aeson, attoparsec, base, blaze-builder, bytestring - , case-insensitive, conduit, conduit-extra, connection, cookie - , data-default-class, hspec, http-client, http-client-tls - , http-types, HUnit, mtl, network, resourcet, streaming-commons - , temporary, text, time, transformers, unliftio, unliftio-core - , utf8-string, wai, wai-conduit, warp, warp-tls - }: - mkDerivation { - pname = "http-conduit"; version = "2.3.7.3"; sha256 = "00rshi1y0h8y4rvsnnad0bppxgpvp40sk7lw1kxmdwy8pi8xrvbs"; libraryHaskellDepends = [ @@ -128349,7 +128471,6 @@ self: { doCheck = false; description = "HTTP client package with conduit interface and HTTPS support"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "http-conduit-browser" = callPackage @@ -130008,30 +130129,6 @@ self: { "hw-bits" = callPackage ({ mkDerivation, base, bytestring, criterion, hedgehog, hspec , hspec-discover, hw-hspec-hedgehog, hw-int, hw-prim - , hw-string-parse, QuickCheck, vector - }: - mkDerivation { - pname = "hw-bits"; - version = "0.7.0.7"; - sha256 = "11j43d8fxi3s6mfhnx2kcj03gpxj7gxmi00vbbnxnwby66vvbbib"; - libraryHaskellDepends = [ - base bytestring hw-int hw-prim hw-string-parse vector - ]; - testHaskellDepends = [ - base bytestring hedgehog hspec hw-hspec-hedgehog hw-prim QuickCheck - vector - ]; - testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ base criterion vector ]; - description = "Bit manipulation"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-bits_0_7_0_8" = callPackage - ({ mkDerivation, base, bytestring, criterion, hedgehog, hspec - , hspec-discover, hw-hspec-hedgehog, hw-int, hw-prim , hw-string-parse, vector }: mkDerivation { @@ -130077,27 +130174,6 @@ self: { "hw-conduit" = callPackage ({ mkDerivation, array, base, bytestring, conduit - , conduit-combinators, criterion, hspec, mmap, time, transformers - , unliftio-core, vector, word8 - }: - mkDerivation { - pname = "hw-conduit"; - version = "0.2.0.5"; - sha256 = "00fpinpafvrdkmk6gksqd9v6f3lzrqcg79yja0h55gw7qjz5lz84"; - libraryHaskellDepends = [ - array base bytestring conduit conduit-combinators time transformers - unliftio-core word8 - ]; - testHaskellDepends = [ base bytestring conduit hspec ]; - benchmarkHaskellDepends = [ - base bytestring conduit criterion mmap vector - ]; - description = "Conduits for tokenizing streams"; - license = stdenv.lib.licenses.mit; - }) {}; - - "hw-conduit_0_2_0_6" = callPackage - ({ mkDerivation, array, base, bytestring, conduit , conduit-combinators, criterion, hspec, hspec-discover, mmap, time , transformers, unliftio-core, vector, word8 }: @@ -130116,7 +130192,6 @@ self: { ]; description = "Conduits for tokenizing streams"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-conduit-merges" = callPackage @@ -130343,34 +130418,6 @@ self: { }: mkDerivation { pname = "hw-excess"; - version = "0.2.2.0"; - sha256 = "07d6q5m98z6r7p4hhzzm8ihn9sbd05hhf40a0hcq3ixxrdz7yyrb"; - revision = "1"; - editedCabalFile = "13k4fm6cyqmsh3wbqh76jn8r0rw9z1nw65zb061smgkk1v9ii3j7"; - libraryHaskellDepends = [ - base hw-bits hw-prim hw-rankselect-base safe vector - ]; - testHaskellDepends = [ - base hedgehog hspec hw-bits hw-hspec-hedgehog hw-prim QuickCheck - vector - ]; - testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ - base bytestring criterion hw-prim vector - ]; - description = "Excess"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-excess_0_2_2_1" = callPackage - ({ mkDerivation, base, bytestring, criterion, hedgehog, hspec - , hspec-discover, hw-bits, hw-hspec-hedgehog, hw-prim - , hw-rankselect-base, QuickCheck, safe, vector - }: - mkDerivation { - pname = "hw-excess"; version = "0.2.2.1"; sha256 = "1n4qgnwf61gdwai163sqkc4lzhni65f94r5hcmq0im502596iy9b"; libraryHaskellDepends = [ @@ -130396,23 +130443,6 @@ self: { }: mkDerivation { pname = "hw-fingertree"; - version = "0.1.1.0"; - sha256 = "096lj6bhyk3pyal3jnif8cm6yprir1kpr5cvjl576kbfhyxdnhmy"; - libraryHaskellDepends = [ base deepseq hw-prim ]; - testHaskellDepends = [ - base deepseq hedgehog hspec hw-hspec-hedgehog - ]; - testToolDepends = [ hspec-discover ]; - description = "Generic finger-tree structure, with example instances"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-fingertree_0_1_1_1" = callPackage - ({ mkDerivation, base, deepseq, hedgehog, hspec, hspec-discover - , hw-hspec-hedgehog, hw-prim - }: - mkDerivation { - pname = "hw-fingertree"; version = "0.1.1.1"; sha256 = "01ghnnnbbrdy9s84rcw37v3yvlrw5awgdmcrzh0xd5dxpw557psf"; libraryHaskellDepends = [ base deepseq hw-prim ]; @@ -130422,7 +130452,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Generic finger-tree structure, with example instances"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-fingertree-strict" = callPackage @@ -130432,25 +130461,6 @@ self: { }: mkDerivation { pname = "hw-fingertree-strict"; - version = "0.1.1.2"; - sha256 = "0zgm5x67kmxm1l1c5605rcs3g5kyfcqz5d8lj6b055ysqqcpr14l"; - libraryHaskellDepends = [ base deepseq ]; - testHaskellDepends = [ - base hedgehog hspec HUnit hw-hspec-hedgehog QuickCheck - test-framework test-framework-hunit test-framework-quickcheck2 - ]; - testToolDepends = [ hspec-discover ]; - description = "Generic strict finger-tree structure"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-fingertree-strict_0_1_1_3" = callPackage - ({ mkDerivation, base, deepseq, hedgehog, hspec, hspec-discover - , HUnit, hw-hspec-hedgehog, QuickCheck, test-framework - , test-framework-hunit, test-framework-quickcheck2 - }: - mkDerivation { - pname = "hw-fingertree-strict"; version = "0.1.1.3"; sha256 = "1fy492nl10a7p9a0b0jrqj1yiar8wir2g3qms2mmd814brbfkm80"; libraryHaskellDepends = [ base deepseq ]; @@ -130461,34 +130471,18 @@ self: { testToolDepends = [ hspec-discover ]; description = "Generic strict finger-tree structure"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-hedgehog" = callPackage ({ mkDerivation, base, hedgehog, vector }: mkDerivation { pname = "hw-hedgehog"; - version = "0.1.0.3"; - sha256 = "0cck3kxxc7fxfqji9jv15vc9v1zpqz7rzacxfcjc0i6s1ll9vbv2"; - revision = "1"; - editedCabalFile = "1wvjv13pznqxzhix7ikjx2gpqc3ppn7z20yl7l6z80yslalh7pm2"; - libraryHaskellDepends = [ base hedgehog vector ]; - testHaskellDepends = [ base ]; - description = "Extra hedgehog functionality"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-hedgehog_0_1_0_4" = callPackage - ({ mkDerivation, base, hedgehog, vector }: - mkDerivation { - pname = "hw-hedgehog"; - version = "0.1.0.4"; - sha256 = "0aps3h6ajrkl9nbrf66rxigya17jf7d0fs5yn7bbzfwqknik12n8"; + version = "0.1.0.5"; + sha256 = "1wnfgmybccznrm2i6rrkg87mwxqng9y115wsbf4r40y6pica7kbi"; libraryHaskellDepends = [ base hedgehog vector ]; testHaskellDepends = [ base ]; description = "Extra hedgehog functionality"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-hspec-hedgehog" = callPackage @@ -130497,25 +130491,6 @@ self: { }: mkDerivation { pname = "hw-hspec-hedgehog"; - version = "0.1.0.8"; - sha256 = "0c54mhzbmjfjvy5lyvr6xffrncqmbbr10lran2x9czbkhhbikrss"; - revision = "1"; - editedCabalFile = "0msy1a646w5m0z5670b7xgc1apzan3myaqrq6i6khsayzk5z597j"; - libraryHaskellDepends = [ - base call-stack hedgehog hspec HUnit transformers - ]; - testHaskellDepends = [ base call-stack hedgehog hspec HUnit ]; - testToolDepends = [ hspec-discover ]; - description = "Interoperability between hspec and hedgehog"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-hspec-hedgehog_0_1_0_9" = callPackage - ({ mkDerivation, base, call-stack, hedgehog, hspec, hspec-discover - , HUnit, transformers - }: - mkDerivation { - pname = "hw-hspec-hedgehog"; version = "0.1.0.9"; sha256 = "1rfcz7fq7gp8xxlax8l1vfr88h9sh50ghs1iihy9jqv135d1dbky"; libraryHaskellDepends = [ @@ -130525,21 +130500,9 @@ self: { testToolDepends = [ hspec-discover ]; description = "Interoperability between hspec and hedgehog"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-int" = callPackage - ({ mkDerivation, base }: - mkDerivation { - pname = "hw-int"; - version = "0.0.0.3"; - sha256 = "0gsaimfx7gg39yfj2n6mlszri5x06f14b8f9cs12klrq2q8sadl3"; - libraryHaskellDepends = [ base ]; - description = "Integers"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-int_0_0_0_4" = callPackage ({ mkDerivation, base, hedgehog, hspec, hspec-discover, hw-hedgehog , hw-hspec-hedgehog }: @@ -130554,7 +130517,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Additional facilities for Integers"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-ip" = callPackage @@ -130564,37 +130526,6 @@ self: { }: mkDerivation { pname = "hw-ip"; - version = "2.3.4.1"; - sha256 = "023wv7dvpyw2nnlrdqhp3nqrbbh9af074fz12y8510br2gfdyamd"; - revision = "1"; - editedCabalFile = "0djvl3qvy4km5qxg5pakgck8vr5hh0md8h9h4sgsvjafcqjrza3x"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ - appar base containers generic-lens hw-bits iproute text - ]; - executableHaskellDepends = [ - appar base binary bytestring generic-lens lens optparse-applicative - text - ]; - testHaskellDepends = [ - appar base generic-lens hedgehog hspec hw-bits hw-hspec-hedgehog - text - ]; - testToolDepends = [ hspec-discover ]; - description = "Library for manipulating IP addresses and CIDR blocks"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-ip_2_3_4_2" = callPackage - ({ mkDerivation, appar, base, binary, bytestring, containers - , generic-lens, hedgehog, hspec, hspec-discover, hw-bits - , hw-hspec-hedgehog, iproute, lens, optparse-applicative, text - }: - mkDerivation { - pname = "hw-ip"; version = "2.3.4.2"; sha256 = "020pwy89hx4jcmq5vwd0gs4vbb2llkvvzaqk72s06w51hqrfpxb9"; isLibrary = true; @@ -130728,26 +130659,6 @@ self: { }: mkDerivation { pname = "hw-json-simd"; - version = "0.1.0.3"; - sha256 = "0cgi7q0cx3zx56wq9l115vbhwc6yjbdrmiyz6z8zcnlhgq6cfgjl"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ base bytestring hw-prim lens vector ]; - libraryToolDepends = [ c2hs ]; - executableHaskellDepends = [ - base bytestring hw-prim lens optparse-applicative vector - ]; - testHaskellDepends = [ base bytestring hw-prim lens vector ]; - description = "SIMD-based JSON semi-indexer"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-json-simd_0_1_0_4" = callPackage - ({ mkDerivation, base, bytestring, c2hs, hw-prim, lens - , optparse-applicative, vector - }: - mkDerivation { - pname = "hw-json-simd"; version = "0.1.0.4"; sha256 = "1qpk7vzya28y56qlb2fmx3ic39n9x2z8dh932rn0fp2d8n4dw8sa"; isLibrary = true; @@ -130760,7 +130671,6 @@ self: { testHaskellDepends = [ base bytestring hw-prim lens vector ]; description = "SIMD-based JSON semi-indexer"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-json-simple-cursor" = callPackage @@ -130914,30 +130824,6 @@ self: { }: mkDerivation { pname = "hw-mquery"; - version = "0.2.0.1"; - sha256 = "04jkhnljyirbjg1b693bacfnaa3i854rg1dgy3mifr7sbmk0xgnn"; - revision = "1"; - editedCabalFile = "0n6zicz4cdr9z7lzlagj8gamj9gnv3nhvjz7srw4wg1cnagimi0s"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ - ansi-wl-pprint base dlist lens semigroups - ]; - executableHaskellDepends = [ base ]; - testHaskellDepends = [ - base dlist hedgehog hspec hw-hspec-hedgehog lens - ]; - testToolDepends = [ hspec-discover ]; - description = "Monadic query DSL"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-mquery_0_2_0_2" = callPackage - ({ mkDerivation, ansi-wl-pprint, base, dlist, hedgehog, hspec - , hspec-discover, hw-hspec-hedgehog, lens, semigroups - }: - mkDerivation { - pname = "hw-mquery"; version = "0.2.0.2"; sha256 = "1vcxyin3cyc0pkhbfjyjm4r44yxk17gny5jhfg6hdlgivp150yij"; isLibrary = true; @@ -130952,7 +130838,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Monadic query DSL"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-packed-vector" = callPackage @@ -130981,7 +130866,7 @@ self: { broken = true; }) {}; - "hw-packed-vector_0_2_0_0" = callPackage + "hw-packed-vector_0_2_0_1" = callPackage ({ mkDerivation, base, binary, bytestring, criterion, deepseq , directory, generic-lens, hedgehog, hspec, hspec-discover, hw-bits , hw-hedgehog, hw-hspec-hedgehog, hw-prim, lens @@ -130989,10 +130874,8 @@ self: { }: mkDerivation { pname = "hw-packed-vector"; - version = "0.2.0.0"; - sha256 = "1nspd5hwn32fjgxmg08bq8hmyxzh61gc72zgd24mcpy94kzq58xd"; - revision = "1"; - editedCabalFile = "0ilqka4s82kwqrp876gfv6wp7gvlxkfzgxdz23l937vwx988cf1z"; + version = "0.2.0.1"; + sha256 = "12181b9fp11cdgf6xngjla9012j8rag3zcssz70x5a7xxgnwfmfc"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -131022,10 +130905,8 @@ self: { }: mkDerivation { pname = "hw-parser"; - version = "0.1.0.1"; - sha256 = "0df3238y4ld9j9w4p1x4lcv13lip9cf3fqn88z98xqjqmfzjdffn"; - revision = "1"; - editedCabalFile = "1nf0s726vr90dggcjxp1qz1msnvckjj908rsc4f991524gz4ygh7"; + version = "0.1.0.2"; + sha256 = "170dk943s2jbqqggxxfjxj23fbfk1532hgsrg63mr0v1ag9hlz1i"; libraryHaskellDepends = [ attoparsec base bytestring hw-prim text ]; @@ -131042,31 +130923,6 @@ self: { }: mkDerivation { pname = "hw-prim"; - version = "0.6.2.35"; - sha256 = "0fm1bmk2da0bsvpf8qvyyapwwc8vlybhibs5n53v067faf38dxqf"; - libraryHaskellDepends = [ - base bytestring ghc-prim mmap semigroups transformers unliftio-core - vector - ]; - testHaskellDepends = [ - base bytestring directory exceptions hedgehog hspec - hw-hspec-hedgehog mmap QuickCheck semigroups transformers vector - ]; - testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ - base bytestring criterion mmap semigroups transformers vector - ]; - description = "Primitive functions and data types"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-prim_0_6_2_36" = callPackage - ({ mkDerivation, base, bytestring, criterion, directory, exceptions - , ghc-prim, hedgehog, hspec, hspec-discover, hw-hspec-hedgehog - , mmap, QuickCheck, semigroups, transformers, unliftio-core, vector - }: - mkDerivation { - pname = "hw-prim"; version = "0.6.2.36"; sha256 = "0anbwaz0hlskrlhp7nmzxzv7mgrs063d7qxhcv4iq9d46j90p031"; libraryHaskellDepends = [ @@ -131083,7 +130939,6 @@ self: { ]; description = "Primitive functions and data types"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-prim-bits" = callPackage @@ -131185,40 +131040,13 @@ self: { "hw-rankselect-base" = callPackage ({ mkDerivation, base, bits-extra, criterion, hedgehog, hspec - , hw-bits, hw-hedgehog, hw-hspec-hedgehog, hw-int, hw-prim - , hw-string-parse, QuickCheck, safe, vector - }: - mkDerivation { - pname = "hw-rankselect-base"; - version = "0.3.2.1"; - sha256 = "0q4kywln4bls2dvazhqh2acw4yqnabnx0mdkhldgg70q8amnq2nj"; - revision = "3"; - editedCabalFile = "1hbbxxzmar0djj4r43nr3ar9nsl659wnq20rw6cp6q974ivlglx2"; - libraryHaskellDepends = [ - base bits-extra hw-bits hw-int hw-prim hw-string-parse safe vector - ]; - testHaskellDepends = [ - base bits-extra hedgehog hspec hw-bits hw-hedgehog - hw-hspec-hedgehog hw-prim QuickCheck vector - ]; - benchmarkHaskellDepends = [ - base bits-extra criterion hw-bits hw-prim vector - ]; - description = "Rank-select base"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-rankselect-base_0_3_2_2" = callPackage - ({ mkDerivation, base, bits-extra, criterion, hedgehog, hspec , hspec-discover, hw-bits, hw-hedgehog, hw-hspec-hedgehog, hw-int , hw-prim, hw-string-parse, QuickCheck, vector }: mkDerivation { pname = "hw-rankselect-base"; - version = "0.3.2.2"; - sha256 = "0wykyw452wdfzb99fr604vq3ifv689gqmix9g25mmypiwfp0jcq1"; + version = "0.3.2.3"; + sha256 = "1gkgbrpklzzfxs5b2w98l5zr48pvdc1l4zll77s2ya7lw0hc69m5"; libraryHaskellDepends = [ base bits-extra hw-bits hw-int hw-prim hw-string-parse vector ]; @@ -131244,40 +131072,6 @@ self: { }: mkDerivation { pname = "hw-simd"; - version = "0.1.1.4"; - sha256 = "1rc4zzp3h87zqrdsgwvc9vxydv200jk7q02vcqfk23wqg0sxvpw0"; - revision = "1"; - editedCabalFile = "00a1wr7sbz6hyapd26asx4zs3g1r8x2y61zdckfb2dxxhyhs2kjw"; - libraryHaskellDepends = [ - base bits-extra bytestring deepseq hw-bits hw-prim hw-rankselect - hw-rankselect-base vector - ]; - libraryToolDepends = [ c2hs ]; - testHaskellDepends = [ - base bits-extra bytestring deepseq directory hedgehog hspec hw-bits - hw-hedgehog hw-hspec-hedgehog hw-prim hw-rankselect - hw-rankselect-base lens text vector - ]; - testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ - base bits-extra bytestring cassava containers criterion deepseq - directory hw-bits hw-prim hw-rankselect hw-rankselect-base mmap - vector - ]; - description = "SIMD library"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-simd_0_1_1_5" = callPackage - ({ mkDerivation, base, bits-extra, bytestring, c2hs, cassava - , containers, criterion, deepseq, directory, hedgehog, hspec - , hspec-discover, hw-bits, hw-hedgehog, hw-hspec-hedgehog, hw-prim - , hw-rankselect, hw-rankselect-base, lens, mmap, text, vector - }: - mkDerivation { - pname = "hw-simd"; version = "0.1.1.5"; sha256 = "0nhy3hi7gxbbjwh6rj4459nzbmlij2cjdg2zvdjgx3z3j5algqyb"; libraryHaskellDepends = [ @@ -131310,40 +131104,8 @@ self: { }: mkDerivation { pname = "hw-streams"; - version = "0.0.0.10"; - sha256 = "08ghjvgyd13b1mby213j86p9b8fbk3j3jbj1n9czq4w9sbsbpxxw"; - revision = "1"; - editedCabalFile = "141vh52p5mwxv77gdnbs6n0k9kkapz4wnwdbm4jpirmhl45bxzph"; - libraryHaskellDepends = [ - base bytestring ghc-prim hw-bits hw-prim mmap primitive semigroups - transformers vector - ]; - testHaskellDepends = [ - base bytestring directory exceptions ghc-prim hedgehog hspec - hw-bits hw-hspec-hedgehog hw-prim mmap primitive QuickCheck - semigroups transformers vector - ]; - testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ - base bytestring criterion ghc-prim hw-bits hw-prim mmap primitive - semigroups transformers vector - ]; - description = "Primitive functions and data types"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-streams_0_0_0_11" = callPackage - ({ mkDerivation, base, bytestring, criterion, directory, exceptions - , ghc-prim, hedgehog, hspec, hspec-discover, hw-bits - , hw-hspec-hedgehog, hw-prim, mmap, primitive, QuickCheck - , semigroups, transformers, vector - }: - mkDerivation { - pname = "hw-streams"; - version = "0.0.0.11"; - sha256 = "17cr818k1zpzs1f46nwlm7p01mwfwf9ndqnp0f0607lfacm7hs9y"; + version = "0.0.0.12"; + sha256 = "087sn4gxhf29vg9ydx8sfq33q16cz6cmda5r63k9xdki4ba761m4"; libraryHaskellDepends = [ base bytestring ghc-prim hw-bits hw-prim mmap primitive semigroups transformers vector @@ -131455,8 +131217,8 @@ self: { }: mkDerivation { pname = "hw-xml"; - version = "0.4.0.1"; - sha256 = "07xjdn2wzcvlhfbf3jlvrmw8mcbl1g5sc62xk6s73figs26gjm6z"; + version = "0.4.0.2"; + sha256 = "122viqivv2csgrv144xc88xhafiq5vcsy545jpmg052d3kg1x4g2"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -131480,7 +131242,7 @@ self: { base bytestring criterion hw-balancedparens hw-bits hw-prim mmap resourcet vector ]; - description = "Conduits for tokenizing streams"; + description = "XML parser based on succinct data structures"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; broken = true; @@ -134787,25 +134549,6 @@ self: { }: mkDerivation { pname = "incremental-parser"; - version = "0.3.2.2"; - sha256 = "0mnqi0fnh5sl1fp74gcwvfm6mxhzrs1x7yl235yl0dhcyjp3vfx7"; - libraryHaskellDepends = [ base monoid-subclasses ]; - testHaskellDepends = [ - base checkers monoid-subclasses QuickCheck tasty tasty-quickcheck - ]; - benchmarkHaskellDepends = [ - base bytestring criterion deepseq monoid-subclasses text - ]; - description = "Generic parser library capable of providing partial results from partial input"; - license = stdenv.lib.licenses.gpl3; - }) {}; - - "incremental-parser_0_3_3" = callPackage - ({ mkDerivation, base, bytestring, checkers, criterion, deepseq - , monoid-subclasses, QuickCheck, tasty, tasty-quickcheck, text - }: - mkDerivation { - pname = "incremental-parser"; version = "0.3.3"; sha256 = "1y5qzbn1627v893s6nlm5prc507pwybf2f9b7icpjgcj1q046p6i"; libraryHaskellDepends = [ base monoid-subclasses ]; @@ -134817,7 +134560,6 @@ self: { ]; description = "Generic parser library capable of providing partial results from partial input"; license = stdenv.lib.licenses.gpl3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "incremental-sat-solver" = callPackage @@ -136350,8 +136092,8 @@ self: { }: mkDerivation { pname = "interpolatedstring-perl6"; - version = "1.0.1"; - sha256 = "0n3j6qvzp6as5ji93rn0sy873w5hdsplik51lkrah42cl95vmbay"; + version = "1.0.2"; + sha256 = "1dvv9dsf5mr6y7aikd57c0qlh1lkbq3y37bvn3hy2g15cn5ix2ss"; enableSeparateDataOutput = true; setupHaskellDepends = [ base Cabal process ]; libraryHaskellDepends = [ @@ -140731,18 +140473,19 @@ self: { }) {}; "json-tools" = callPackage - ({ mkDerivation, aeson, attoparsec, base, bytestring, containers - , process, tar, text, unordered-containers, vector + ({ mkDerivation, aeson, attoparsec, attoparsec-expr, base + , bytestring, containers, process, scientific, string-conversions + , tar, text, unordered-containers, vector }: mkDerivation { pname = "json-tools"; - version = "0.5.0"; - sha256 = "13iyhsq4010ypgmlsdkdk93w8dhg6v0cllsf0avfaxkdva9lrqkf"; + version = "0.5.1"; + sha256 = "0ylh7a3h2dwl55p5s4q7378k0f1jcsvwlngxqrr5v1kf1qp74jnm"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ - aeson attoparsec base bytestring containers process tar text - unordered-containers vector + aeson attoparsec attoparsec-expr base bytestring containers process + scientific string-conversions tar text unordered-containers vector ]; description = "A collection of JSON tools"; license = stdenv.lib.licenses.bsd3; @@ -144898,8 +144641,8 @@ self: { }: mkDerivation { pname = "language-ats"; - version = "1.7.4.1"; - sha256 = "17vvkkq84lcnjc9cdzcx3y2d94kjr34jf91h10h57zdfg93g851b"; + version = "1.7.6.0"; + sha256 = "06kbm7w7i5wg9gwwyjjmlap0wsijxalh6fydmbpq5whifgashsmy"; enableSeparateDataOutput = true; libraryHaskellDepends = [ ansi-wl-pprint array base composition-prelude containers deepseq @@ -145035,6 +144778,31 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "language-c-quote_0_12_2_1" = callPackage + ({ mkDerivation, alex, array, base, bytestring, containers + , exception-mtl, exception-transformers, filepath, happy + , haskell-src-meta, HUnit, mainland-pretty, mtl, srcloc, syb + , symbol, template-haskell, test-framework, test-framework-hunit + }: + mkDerivation { + pname = "language-c-quote"; + version = "0.12.2.1"; + sha256 = "0hwv4b40wj953f39gqn8ji4ycli67c90b8xbizskd4i1x3nqbi35"; + libraryHaskellDepends = [ + array base bytestring containers exception-mtl + exception-transformers filepath haskell-src-meta mainland-pretty + mtl srcloc syb symbol template-haskell + ]; + libraryToolDepends = [ alex happy ]; + testHaskellDepends = [ + base bytestring HUnit mainland-pretty srcloc symbol test-framework + test-framework-hunit + ]; + description = "C/CUDA/OpenCL/Objective-C quasiquoting library"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "language-c99" = callPackage ({ mkDerivation, base, pretty }: mkDerivation { @@ -146712,8 +146480,8 @@ self: { ({ mkDerivation, base, size-based }: mkDerivation { pname = "lazy-search"; - version = "0.1.2.0"; - sha256 = "026pim7hw5fvc514acfj8idkficid6jqmr5jmmz5zpj30wm8z5g8"; + version = "0.1.2.1"; + sha256 = "1vicd1yzcz3kw3r0widfx04j4qbzz4912j5v8c2bhd0z9hvc22vp"; libraryHaskellDepends = [ base size-based ]; description = "Finds values satisfying a lazy predicate"; license = stdenv.lib.licenses.bsd3; @@ -147791,14 +147559,14 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; - "lens-regex-pcre_1_0_0_0" = callPackage + "lens-regex-pcre_1_0_0_1" = callPackage ({ mkDerivation, base, bytestring, gauge, hspec, lens, pcre-heavy , template-haskell, text }: mkDerivation { pname = "lens-regex-pcre"; - version = "1.0.0.0"; - sha256 = "02am0q60nhn93jlrxskcc33mcgn0la8y4074vv0rbxxshbxz6k4h"; + version = "1.0.0.1"; + sha256 = "0g103a415npasr9a8ay1bs1f7m24w8f53h9x3wrpvvbaf0v3z74i"; libraryHaskellDepends = [ base bytestring lens pcre-heavy template-haskell text ]; @@ -150052,19 +149820,17 @@ self: { "linearmap-category" = callPackage ({ mkDerivation, base, call-stack, constrained-categories , containers, free-vector-spaces, ieee754, lens, linear - , manifolds-core, semigroups, tagged, transformers, vector - , vector-space + , manifolds-core, QuickCheck, semigroups, tagged, transformers + , vector, vector-space }: mkDerivation { pname = "linearmap-category"; - version = "0.3.5.0"; - sha256 = "0qmd0nz343j3j3kprbhwfkglcswfcawfy0y6g4ai6nzdga42nfrf"; - revision = "4"; - editedCabalFile = "0bn66b6klifv5dqklczbrar54zkjcd1v5h6p0hlh6vc3plq2351q"; + version = "0.4.0.0"; + sha256 = "18fk6fj98w660mid7sadq8algmm5a5gz5150ac62kdp4rrk6igjk"; libraryHaskellDepends = [ base call-stack constrained-categories containers - free-vector-spaces ieee754 lens linear manifolds-core semigroups - tagged transformers vector vector-space + free-vector-spaces ieee754 lens linear manifolds-core QuickCheck + semigroups tagged transformers vector vector-space ]; description = "Native, complete, matrix-free linear algebra"; license = stdenv.lib.licenses.gpl3; @@ -150139,8 +149905,8 @@ self: { }: mkDerivation { pname = "lingo"; - version = "0.2.0.0"; - sha256 = "0wgrliwxgwsylga3hkikpjyf7a835n7y62kihj6glymim2xv1vdw"; + version = "0.3.0.0"; + sha256 = "0cjxd9yflagps5760h62m948nmhbn0ad8kyldv9k28i59phm8gwx"; setupHaskellDepends = [ base bytestring Cabal containers directory filepath text yaml ]; @@ -153548,11 +153314,9 @@ self: { ]; description = "Functional test framework for LSP servers"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; - "lsp-test_0_7_0_0" = callPackage + "lsp-test_0_8_0_0" = callPackage ({ mkDerivation, aeson, aeson-pretty, ansi-terminal, async, base , bytestring, conduit, conduit-parse, containers, data-default , Diff, directory, filepath, haskell-lsp, hspec, lens, mtl @@ -153561,8 +153325,8 @@ self: { }: mkDerivation { pname = "lsp-test"; - version = "0.7.0.0"; - sha256 = "1lm299gbahrnwfrprhhpzxrmjljj33pps1gzz2wzmp3m9gzl1dx5"; + version = "0.8.0.0"; + sha256 = "1w8ag6v8mdgxynz32nzxmvfaar68abmsh3fchdfbhz2ky07p6085"; libraryHaskellDepends = [ aeson aeson-pretty ansi-terminal async base bytestring conduit conduit-parse containers data-default Diff directory filepath @@ -153576,7 +153340,6 @@ self: { description = "Functional test framework for LSP servers"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "lss" = callPackage @@ -155760,8 +155523,8 @@ self: { }: mkDerivation { pname = "manifold-random"; - version = "0.5.0.3"; - sha256 = "12k5h3r6wnqjy5vzia3hypwjrh953cc5n912k1rfdwm4m4pznq03"; + version = "0.5.0.4"; + sha256 = "0wf0ya7w73ikd0ivg7m8q4nnc3mv7426l5xlf04zs5gh982x33gl"; libraryHaskellDepends = [ base constrained-categories linearmap-category manifolds random-fu semigroups vector-space @@ -155783,10 +155546,8 @@ self: { }: mkDerivation { pname = "manifolds"; - version = "0.5.0.3"; - sha256 = "16g0xfs99xcccyngknplgmargz4y4ncfw0wjm7sinjcrfd7js9fb"; - revision = "2"; - editedCabalFile = "0l76g7aqjfpp8s9wxdap4lhp3m06hfghz9lgdkr5nfjx60bgy69x"; + version = "0.5.0.4"; + sha256 = "0cng3sp2lg8bfi8g9w4p7ccmg5b177svljl5cki286kdjqsvl320"; libraryHaskellDepends = [ array base binary call-stack comonad constrained-categories containers deepseq free free-vector-spaces ieee754 lens linear @@ -155809,8 +155570,8 @@ self: { ({ mkDerivation, base, call-stack, tagged, vector-space }: mkDerivation { pname = "manifolds-core"; - version = "0.5.0.3"; - sha256 = "1r459qjbdqygxplv06bnqrysfl0xvmx5i7jkwgah1blpmipr4nwz"; + version = "0.5.0.4"; + sha256 = "0b1acwmmjcgq6bb0qijh227wq49vl295gd0d4iz8lpk1g1pwb2v2"; libraryHaskellDepends = [ base call-stack tagged vector-space ]; description = "The basic classes for the manifolds hierarchy"; license = stdenv.lib.licenses.gpl3; @@ -156814,28 +156575,6 @@ self: { }: mkDerivation { pname = "matplotlib"; - version = "0.7.4"; - sha256 = "0vpvi0iigmajz3dn0kx5kk9i7ccpbxs1f9fg4qymy3v18zd3wiqg"; - libraryHaskellDepends = [ - aeson base bytestring containers deepseq filepath process split - temporary - ]; - testHaskellDepends = [ - ad base bytestring directory process random raw-strings-qq split - tasty tasty-expected-failure tasty-golden tasty-hunit temporary - ]; - description = "Bindings to Matplotlib; a Python plotting library"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "matplotlib_0_7_5" = callPackage - ({ mkDerivation, ad, aeson, base, bytestring, containers, deepseq - , directory, filepath, process, random, raw-strings-qq, split - , tasty, tasty-expected-failure, tasty-golden, tasty-hunit - , temporary - }: - mkDerivation { - pname = "matplotlib"; version = "0.7.5"; sha256 = "0iyjr6j5jp7lqrk9rqqvxz3rx8221hwz56p82zqkj3aarrvy21cs"; libraryHaskellDepends = [ @@ -156848,7 +156587,6 @@ self: { ]; description = "Bindings to Matplotlib; a Python plotting library"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "matrices" = callPackage @@ -160895,6 +160633,35 @@ self: { license = stdenv.lib.licenses.mit; }) {}; + "mmsyn3" = callPackage + ({ mkDerivation, base, directory }: + mkDerivation { + pname = "mmsyn3"; + version = "0.1.1.1"; + sha256 = "1gx526ws7hdnn0pwffz46w0hywysbhqrxanwkdhw8v9qcwawkdbx"; + libraryHaskellDepends = [ base directory ]; + description = "A small library to deal with executable endings"; + license = stdenv.lib.licenses.mit; + }) {}; + + "mmsyn4" = callPackage + ({ mkDerivation, base, directory, mmsyn2, mmsyn3, process, vector + }: + mkDerivation { + pname = "mmsyn4"; + version = "0.1.1.1"; + sha256 = "07m0kga90ds25nd187xvfy0kqdsg8cilp6h52w4p4wfgr7hwahnr"; + revision = "2"; + editedCabalFile = "0ddc6pwyibgwvxwk60l1qiw7smkx8gpk7ikj5a9c7kcjq98ndf0z"; + isLibrary = false; + isExecutable = true; + executableHaskellDepends = [ + base directory mmsyn2 mmsyn3 process vector + ]; + description = "The \"glue\" between electronic tables and GraphViz"; + license = stdenv.lib.licenses.mit; + }) {}; + "mmtf" = callPackage ({ mkDerivation, array, base, binary, bytestring, containers , data-msgpack, deepseq, hspec, http-conduit, QuickCheck, text @@ -163254,8 +163021,8 @@ self: { pname = "monoidal-containers"; version = "0.6"; sha256 = "1ii09s068g6bj2j10ig3g3ymv1ci6zg596pmmaw6als15j9bybc9"; - revision = "1"; - editedCabalFile = "1k4k8g5a7swaylcqnga7lyp0lly8j1fqzdwsnznmps8bwn1pn1kk"; + revision = "2"; + editedCabalFile = "17qv2kds7m4mi3r4rd89d2nhcjc06lzdfg93qsn6ldssnypq0rra"; libraryHaskellDepends = [ aeson base containers deepseq hashable lens newtype semialign semigroups these unordered-containers @@ -168016,8 +167783,8 @@ self: { }: mkDerivation { pname = "net-mqtt"; - version = "0.6.0.0"; - sha256 = "1b27jxqs8wbknn3bsv1wcl93qzz9i46g1x449iz40ca3r3bylwcn"; + version = "0.6.0.1"; + sha256 = "1mcr228i7cdpwfpxxvgk7nah32bfc39mx4vr014zcy728imgj8dx"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -170582,6 +170349,36 @@ self: { maintainers = with stdenv.lib.maintainers; [ peti ]; }) {inherit (pkgs) nix;}; + "nix-tools" = callPackage + ({ mkDerivation, aeson, base, base16-bytestring, bytestring, Cabal + , containers, cryptohash-sha256, data-fix, deepseq, directory + , extra, filepath, hackage-db, hnix, hpack, http-client + , http-client-tls, http-types, microlens, microlens-aeson + , optparse-applicative, prettyprinter, process, tar, text, time + , transformers, unordered-containers, vector, yaml, zlib + }: + mkDerivation { + pname = "nix-tools"; + version = "0.1.0.0"; + sha256 = "09cwqxqj8hqf72d0ix9qim9b5gbzgqjkq7lvwg3w59zik8j7r7qk"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson base base16-bytestring bytestring Cabal cryptohash-sha256 + data-fix deepseq directory filepath hnix hpack process text + transformers unordered-containers + ]; + executableHaskellDepends = [ + aeson base base16-bytestring bytestring Cabal containers + cryptohash-sha256 data-fix directory extra filepath hackage-db hnix + hpack http-client http-client-tls http-types microlens + microlens-aeson optparse-applicative prettyprinter tar text time + transformers unordered-containers vector yaml zlib + ]; + description = "cabal/stack to nix translation tools"; + license = stdenv.lib.licenses.bsd3; + }) {}; + "nixfmt" = callPackage ({ mkDerivation, base, cmdargs, directory, filepath, megaparsec , parser-combinators, safe-exceptions, text, unix @@ -177051,8 +176848,8 @@ self: { }: mkDerivation { pname = "pango"; - version = "0.13.6.0"; - sha256 = "14qcikd9r06ra7zp557c0bffd357yj4hk9bjigyhq2kdrc2l7igr"; + version = "0.13.6.1"; + sha256 = "1b17nap158ml58ks0zsdqx6v7gbdwaha1m0rw4bh4if7h0ai9vxp"; enableSeparateDataOutput = true; setupHaskellDepends = [ base Cabal filepath gtk2hs-buildtools ]; libraryHaskellDepends = [ @@ -178077,10 +177874,8 @@ self: { }: mkDerivation { pname = "paripari"; - version = "0.6.0.0"; - sha256 = "1604py5ms14xhmvsxdqx56xfbs3g4wkhjd8f5gsmhpqwz7acy511"; - revision = "2"; - editedCabalFile = "074z7wrb1p4c8wxwmqv3nxs6kp835r9pxmmnspqaajj0rag70ny3"; + version = "0.6.0.1"; + sha256 = "1i82rwd2ysplqazqnarnshdrg8gjsbgh9kzn0mc4avl2lfi3pk16"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -178714,6 +178509,17 @@ self: { broken = true; }) {}; + "partial-records" = callPackage + ({ mkDerivation, base, template-haskell, transformers }: + mkDerivation { + pname = "partial-records"; + version = "0.2.1.0"; + sha256 = "1aw1cnr4zxwczmxirkd7pw5pfajfyinl5d4ar5xhq4hmfyfrdvxi"; + libraryHaskellDepends = [ base template-haskell transformers ]; + description = "Template haskell utilities for constructing records with default values"; + license = stdenv.lib.licenses.bsd3; + }) {}; + "partial-semigroup" = callPackage ({ mkDerivation, base, doctest, hedgehog }: mkDerivation { @@ -180656,8 +180462,8 @@ self: { }: mkDerivation { pname = "persist"; - version = "0.1.1.3"; - sha256 = "0lcjk2q9x0qclc3znwv9xrqqwbczw2ryvamfqa6hvabr618lmi4p"; + version = "0.1.1.4"; + sha256 = "0g15l5fqzw30hsrc58hmgz5vbw8bfbgin7gi2dwahc98k8i0gxd4"; libraryHaskellDepends = [ base bytestring containers text ]; testHaskellDepends = [ base bytestring QuickCheck test-framework @@ -184541,6 +184347,8 @@ self: { pname = "playlists"; version = "0.5.0.0"; sha256 = "0653aifikinz69wq3d6sfkchcchhwlndh1lf40qrk96941qss0d6"; + revision = "1"; + editedCabalFile = "19vwlvva12p4r3ch1ik7m6h5r7cy0f35qn8smd41pv47v29dsxiq"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -187892,10 +187700,8 @@ self: { }: mkDerivation { pname = "pragmatic-show"; - version = "0.1.2.0"; - sha256 = "1nkwimmnk10p0pnv2hr3mxgfs1r2rjfhiaccmhd68a6279whp6p7"; - revision = "2"; - editedCabalFile = "197mbpl6542amy9hmramkhrb57s3wycsc1g2c5vhyfnnpbcrh1pc"; + version = "0.1.2.1"; + sha256 = "1i3yj11vdnca6klnn698fdwpjw356r87zbp7jlc4f4v76qhllfrm"; libraryHaskellDepends = [ base containers ]; testHaskellDepends = [ base tasty tasty-hunit tasty-quickcheck vector-space @@ -188022,8 +187828,8 @@ self: { ({ mkDerivation, adjunctions, base, lens, mtl }: mkDerivation { pname = "predicate-transformers"; - version = "0.3.0.0"; - sha256 = "1wdbizrg6wx1yl5f8h305k2a4vp6lpbxxdh3d761z9xr6fq9b5qj"; + version = "0.5.0.0"; + sha256 = "114mzc7vshxcvzlgqjyhadhmzjkmlszi513fjfmqfl6n556k48wf"; libraryHaskellDepends = [ adjunctions base lens mtl ]; description = "A library for writing predicates and transformations over predicates in Haskell"; license = stdenv.lib.licenses.bsd3; @@ -190450,8 +190256,6 @@ self: { ]; description = "Prometheus Haskell Client"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "prometheus-client" = callPackage @@ -191455,8 +191259,8 @@ self: { }: mkDerivation { pname = "pseudo-boolean"; - version = "0.1.8.0"; - sha256 = "0na3kx4zxjmznfhw9121w8963vm2qppij5i93j4lvd3sflpwry9b"; + version = "0.1.9.0"; + sha256 = "00n5mf7abprhr9xvh3k1mw40jn4l94wwxpc2h0546h0n9v7srb1b"; libraryHaskellDepends = [ attoparsec base bytestring bytestring-builder containers deepseq dlist hashable megaparsec parsec void @@ -195503,8 +195307,8 @@ self: { }: mkDerivation { pname = "rank2classes"; - version = "1.3.1"; - sha256 = "07ykacy93c1rgh9a5ndkkhaviyfr61xfhi430rpwamk4h6i6qiy3"; + version = "1.3.1.1"; + sha256 = "1rx44kjb2vgycmz68wxxdypc4lx7b27sr42xsgmy1l29gigiksij"; libraryHaskellDepends = [ base distributive template-haskell transformers ]; @@ -195968,6 +195772,39 @@ self: { broken = true; }) {}; + "rattletrap_9_0_4" = callPackage + ({ mkDerivation, aeson, aeson-pretty, base, binary, binary-bits + , bytestring, containers, filepath, http-client, http-client-tls + , HUnit, scientific, template-haskell, temporary, text + , transformers + }: + mkDerivation { + pname = "rattletrap"; + version = "9.0.4"; + sha256 = "05d0l0yajb12x3rvn5yz5534lvlkzzzwx2n8x9l1wzx4cavz7fan"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson aeson-pretty base binary binary-bits bytestring containers + filepath http-client http-client-tls scientific template-haskell + text transformers + ]; + executableHaskellDepends = [ + aeson aeson-pretty base binary binary-bits bytestring containers + filepath http-client http-client-tls scientific template-haskell + text transformers + ]; + testHaskellDepends = [ + aeson aeson-pretty base binary binary-bits bytestring containers + filepath http-client http-client-tls HUnit scientific + template-haskell temporary text transformers + ]; + description = "Parse and generate Rocket League replays"; + license = stdenv.lib.licenses.mit; + hydraPlatforms = stdenv.lib.platforms.none; + broken = true; + }) {}; + "raven-haskell" = callPackage ({ mkDerivation, aeson, base, bytestring, hspec, http-conduit, mtl , network, random, resourcet, text, time, unordered-containers @@ -197041,26 +196878,6 @@ self: { }: mkDerivation { pname = "reanimate-svg"; - version = "0.9.3.0"; - sha256 = "1wwk2bd802nznwb9nlc7pq16krldw3dzj89fjd1v00plg9b5i3i4"; - libraryHaskellDepends = [ - attoparsec base bytestring containers JuicyPixels lens linear mtl - scientific text transformers vector xml - ]; - testHaskellDepends = [ - attoparsec base hspec linear scientific svg-tree - ]; - description = "SVG file loader and serializer"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "reanimate-svg_0_9_3_1" = callPackage - ({ mkDerivation, attoparsec, base, bytestring, containers, hspec - , JuicyPixels, lens, linear, mtl, scientific, svg-tree, text - , transformers, vector, xml - }: - mkDerivation { - pname = "reanimate-svg"; version = "0.9.3.1"; sha256 = "1bxfhfdr7p98aj12krxrzcmvxbl8b20flbvqydja8pcwh6jgd744"; libraryHaskellDepends = [ @@ -197072,7 +196889,6 @@ self: { ]; description = "SVG file loader and serializer"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "reason-export" = callPackage @@ -198213,8 +198029,8 @@ self: { pname = "reflex-dom-retractable"; version = "0.1.0.0"; sha256 = "0qdr3xrpg5dhfsbz6b2883jkhvc7nckmn05gyc844xn0fjlrlbda"; - revision = "1"; - editedCabalFile = "1idkwal502xg7qb8hwk5yg3mqy62h5xjmyciv2xs3x3axcckllcv"; + revision = "2"; + editedCabalFile = "19l4apgi05q2hi145wfyp3f6nkmdj9njpqmjk5g6hjma3pgncpsc"; libraryHaskellDepends = [ base containers jsaddle mtl reflex reflex-dom ]; @@ -200473,8 +200289,8 @@ self: { }: mkDerivation { pname = "replace-attoparsec"; - version = "1.0.2.0"; - sha256 = "13fri1sqvr9ldzbr7wif4fn5phjmca3pql10qzx049gyip1vfy8a"; + version = "1.0.3.0"; + sha256 = "0vksppf0x9wp9hs6h9i2jbaz5vmrv8r78dv3dr4g3d8bnkh2vmwz"; libraryHaskellDepends = [ attoparsec base bytestring text ]; testHaskellDepends = [ attoparsec base bytestring Cabal parsers text @@ -200482,24 +200298,19 @@ self: { benchmarkHaskellDepends = [ attoparsec base bytestring criterion text ]; - description = "Stream edit, find-and-replace with Attoparsec parsers"; + description = "Find, replace, and edit text patterns with Attoparsec parsers"; license = stdenv.lib.licenses.bsd2; }) {}; "replace-megaparsec" = callPackage - ({ mkDerivation, base, bytestring, Cabal, criterion, megaparsec - , text - }: + ({ mkDerivation, base, bytestring, Cabal, megaparsec, text }: mkDerivation { pname = "replace-megaparsec"; - version = "1.1.4.0"; - sha256 = "0mfxk03p6wwikyrra2r5zs06axl7v0da9ggv5ycd6mi4bc5hpj8r"; + version = "1.1.5.0"; + sha256 = "07y21p6a65gm3zgi3g9rfgr8ali548jcq8qcg5fyzl2bl1bj8pyd"; libraryHaskellDepends = [ base megaparsec ]; testHaskellDepends = [ base bytestring Cabal megaparsec text ]; - benchmarkHaskellDepends = [ - base bytestring criterion megaparsec text - ]; - description = "Stream edit, find-and-replace with Megaparsec parsers"; + description = "Find, replace, and edit text patterns with Megaparsec parsers"; license = stdenv.lib.licenses.bsd2; }) {}; @@ -201618,26 +201429,6 @@ self: { }: mkDerivation { pname = "retry"; - version = "0.8.0.2"; - sha256 = "1i98a5pp37fcny28wfp002bc16m9jf793jicbp83ffwlk0g123v5"; - libraryHaskellDepends = [ - base exceptions ghc-prim random transformers - ]; - testHaskellDepends = [ - base exceptions ghc-prim hedgehog HUnit mtl random stm tasty - tasty-hedgehog tasty-hunit time transformers - ]; - description = "Retry combinators for monadic actions that may fail"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "retry_0_8_1_0" = callPackage - ({ mkDerivation, base, exceptions, ghc-prim, hedgehog, HUnit, mtl - , random, stm, tasty, tasty-hedgehog, tasty-hunit, time - , transformers - }: - mkDerivation { - pname = "retry"; version = "0.8.1.0"; sha256 = "02k03r86amg1vbrsvb644342ym13d9jwkzki9sk93pdg5l8j35dj"; libraryHaskellDepends = [ @@ -201649,7 +201440,6 @@ self: { ]; description = "Retry combinators for monadic actions that may fail"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "retryer" = callPackage @@ -206064,17 +205854,17 @@ self: { broken = true; }) {inherit (pkgs) z3;}; - "sbv_8_4" = callPackage + "sbv_8_5" = callPackage ({ mkDerivation, array, async, base, bytestring, containers - , crackNum, deepseq, directory, doctest, filepath, generic-deriving - , ghc, Glob, hlint, mtl, pretty, process, QuickCheck, random, syb - , tasty, tasty-golden, tasty-hunit, tasty-quickcheck - , template-haskell, time, transformers, z3 + , crackNum, criterion, deepseq, directory, doctest, filepath + , generic-deriving, ghc, Glob, hlint, mtl, pretty, process + , QuickCheck, random, syb, tasty, tasty-golden, tasty-hunit + , tasty-quickcheck, template-haskell, time, transformers, z3 }: mkDerivation { pname = "sbv"; - version = "8.4"; - sha256 = "0fv1l99zw29vsfgzym0qvb8qcy1jb7gkd1yj48vy1w0ayg9w01i0"; + version = "8.5"; + sha256 = "108j9b10sf7cv838g07lip17qx49d9lh9ajd3q2r0848szg0kf1p"; enableSeparateDataOutput = true; libraryHaskellDepends = [ array async base containers crackNum deepseq directory filepath @@ -206087,6 +205877,10 @@ self: { tasty-quickcheck template-haskell ]; testSystemDepends = [ z3 ]; + benchmarkHaskellDepends = [ + base containers crackNum criterion deepseq directory filepath mtl + process random syb + ]; description = "SMT Based Verification: Symbolic Haskell theorem prover using SMT solving"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; @@ -206604,8 +206398,8 @@ self: { }: mkDerivation { pname = "schemas"; - version = "0.2.0.2"; - sha256 = "0fpxjcs23kv3vq3mmg3f682n8yxb0666vflmvn9rnf9vc5afsz0x"; + version = "0.2.0.3"; + sha256 = "1s09viqh2ra0kikx54jr4sr2g38j65idg7xv5ccll30c0vnjjvcs"; libraryHaskellDepends = [ aeson base bifunctors bytestring free generics-sop hashable lens lens-aeson profunctors scientific text transformers @@ -208130,8 +207924,8 @@ self: { }: mkDerivation { pname = "secp256k1-legacy"; - version = "0.5.5"; - sha256 = "1wqzv26zcbd9lxl8ifwwwnp7ikfd7rs8w784gdap963yk0k3692d"; + version = "0.5.6"; + sha256 = "0p7kp7011hfc95rdchkniw3gj5i0pkwgsa5kkhwn6fjzs2clslbz"; setupHaskellDepends = [ base Cabal ]; libraryHaskellDepends = [ base base16-bytestring bytestring cereal entropy mtl QuickCheck @@ -211729,24 +211523,6 @@ self: { }: mkDerivation { pname = "servant-swagger-ui"; - version = "0.3.4.3.22.2"; - sha256 = "0ig05xzh4iybnwzh7bx8i7a337j0xfmsxbmfi9iaiz4g6f9s5clm"; - revision = "1"; - editedCabalFile = "084cqli1d30vz6mrj6l9cxlwmigqqiydkxdq90xvz3ffhs5cyq8p"; - libraryHaskellDepends = [ - base bytestring file-embed-lzma servant servant-server - servant-swagger-ui-core swagger2 text - ]; - description = "Servant swagger ui"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "servant-swagger-ui_0_3_4_3_23_11" = callPackage - ({ mkDerivation, base, bytestring, file-embed-lzma, servant - , servant-server, servant-swagger-ui-core, swagger2, text - }: - mkDerivation { - pname = "servant-swagger-ui"; version = "0.3.4.3.23.11"; sha256 = "0ryr6h74vz6q6q0c9aixb7kwhq1vn95m3m0799cvc0xkfvm0ljha"; libraryHaskellDepends = [ @@ -211755,7 +211531,6 @@ self: { ]; description = "Servant swagger ui"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "servant-swagger-ui-core" = callPackage @@ -211802,24 +211577,6 @@ self: { }: mkDerivation { pname = "servant-swagger-ui-redoc"; - version = "0.3.3.1.22.2"; - sha256 = "0qkh4h5ijhizlnps79gg75jy533rpc1vcw7v7yxika585srndim1"; - revision = "1"; - editedCabalFile = "1qi389fjrlnqbsm7kw6rbyga19mw9286g5ibavi888jqyllpmi8f"; - libraryHaskellDepends = [ - base bytestring file-embed-lzma servant servant-server - servant-swagger-ui-core swagger2 text - ]; - description = "Servant swagger ui: ReDoc theme"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "servant-swagger-ui-redoc_0_3_3_1_22_3" = callPackage - ({ mkDerivation, base, bytestring, file-embed-lzma, servant - , servant-server, servant-swagger-ui-core, swagger2, text - }: - mkDerivation { - pname = "servant-swagger-ui-redoc"; version = "0.3.3.1.22.3"; sha256 = "0bzkrh1hf29vfa1r1sgifb9j2zcg6i43fal4abbx4lcqvf155pzv"; libraryHaskellDepends = [ @@ -211828,7 +211585,6 @@ self: { ]; description = "Servant swagger ui: ReDoc theme"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "servant-tracing" = callPackage @@ -213115,8 +212871,8 @@ self: { }: mkDerivation { pname = "shake-ats"; - version = "1.10.2.2"; - sha256 = "070vvzz0nmdal9ja43l8s4dll2iwxjzd3lmlynkdm1v9qzj0iw67"; + version = "1.10.2.3"; + sha256 = "00d7axnvrwgvskfhb51n55i188dlca2s1xwckqzycpafwbvxvsfx"; libraryHaskellDepends = [ base binary dependency directory hs2ats language-ats microlens shake shake-c shake-cabal shake-ext text @@ -213384,31 +213140,6 @@ self: { }: mkDerivation { pname = "shakespeare"; - version = "2.0.21"; - sha256 = "1assgcinf9i9rm7mphqfymzvn7z1m2jjkm98z7l2pb76z53mcvgh"; - libraryHaskellDepends = [ - aeson base blaze-html blaze-markup bytestring containers directory - exceptions ghc-prim parsec process scientific template-haskell text - time transformers unordered-containers vector - ]; - testHaskellDepends = [ - aeson base blaze-html blaze-markup bytestring containers directory - exceptions ghc-prim hspec HUnit parsec process template-haskell - text time transformers - ]; - description = "A toolkit for making compile-time interpolated templates"; - license = stdenv.lib.licenses.mit; - maintainers = with stdenv.lib.maintainers; [ psibi ]; - }) {}; - - "shakespeare_2_0_22" = callPackage - ({ mkDerivation, aeson, base, blaze-html, blaze-markup, bytestring - , containers, directory, exceptions, ghc-prim, hspec, HUnit, parsec - , process, scientific, template-haskell, text, time, transformers - , unordered-containers, vector - }: - mkDerivation { - pname = "shakespeare"; version = "2.0.22"; sha256 = "1mc1a0vv070gcawwcx6vzpj6gpfh1qnlqrndiyfic3p500y656vh"; libraryHaskellDepends = [ @@ -213423,7 +213154,6 @@ self: { ]; description = "A toolkit for making compile-time interpolated templates"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; maintainers = with stdenv.lib.maintainers; [ psibi ]; }) {}; @@ -214055,8 +213785,8 @@ self: { }: mkDerivation { pname = "shh"; - version = "0.7.0.6"; - sha256 = "0whcynzjsm0agq456kv6dn6d4gk1k3vhvnbwvjar4sbn0yiz4if1"; + version = "0.7.0.7"; + sha256 = "07xj1l7pk6j072ry2mi6jp6r0ivs4m0fqvfvm62jvhm7vhlg3m8m"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -216188,6 +215918,8 @@ self: { pname = "size-based"; version = "0.1.2.0"; sha256 = "06hmlic0n73ncwlkpx49xlv09bzsrr27ncnp5byhzlknak2gd7vp"; + revision = "1"; + editedCabalFile = "0kax1ypjyglkn6iff1x4yz12y7f2n249m95xvdhrc63hsa4xlcqv"; libraryHaskellDepends = [ base dictionary-sharing template-haskell testing-type-modifiers ]; @@ -216847,8 +216579,8 @@ self: { }: mkDerivation { pname = "slave-thread"; - version = "1.0.3"; - sha256 = "09yvcgd997lj8wbal443hafr1w72v45ks4fxrm05b45malb3vs98"; + version = "1.1"; + sha256 = "02b64s0m7k81s187wj81yzr7l73p79iyh7a59dqf7r72l4r7akbd"; libraryHaskellDepends = [ base deferred-folds focus foldl stm-containers ]; @@ -217131,18 +216863,18 @@ self: { }: mkDerivation { pname = "small-bytearray-builder"; - version = "0.2.1.0"; - sha256 = "11r0nz8z16h75867xq4c62s69ic7vhwfwhl2c11441mkhbrk2nbw"; + version = "0.3.0.0"; + sha256 = "17c0mwanwj96djirh6vac9k5dh8qsl9inx237wwbln4j3br4mkz4"; libraryHaskellDepends = [ base byteslice bytestring natural-arithmetic primitive - primitive-offset run-st text-short vector + primitive-offset run-st text-short ]; testHaskellDepends = [ base byteslice bytestring natural-arithmetic primitive QuickCheck tasty tasty-hunit tasty-quickcheck text vector ]; benchmarkHaskellDepends = [ - base gauge natural-arithmetic primitive + base byteslice gauge natural-arithmetic primitive text-short ]; description = "Serialize to a small byte arrays"; license = stdenv.lib.licenses.bsd3; @@ -224757,6 +224489,58 @@ self: { license = stdenv.lib.licenses.mit; }) {}; + "store_0_6_0" = callPackage + ({ mkDerivation, array, async, base, base-orphans + , base64-bytestring, bifunctors, bytestring, cereal, cereal-vector + , clock, containers, contravariant, criterion, cryptohash, deepseq + , directory, filepath, free, ghc-prim, hashable, hspec + , hspec-smallcheck, integer-gmp, lifted-base, monad-control + , mono-traversable, network, primitive, resourcet, safe, semigroups + , smallcheck, store-core, syb, template-haskell, text, th-lift + , th-lift-instances, th-orphans, th-reify-many, th-utilities, time + , transformers, unordered-containers, vector + , vector-binary-instances, void, weigh + }: + mkDerivation { + pname = "store"; + version = "0.6.0"; + sha256 = "0l3v736insivkvrbnfqv6qyraxyfmxb2n85r3gwfjwnwdwhljhk6"; + libraryHaskellDepends = [ + array async base base-orphans base64-bytestring bifunctors + bytestring containers contravariant cryptohash deepseq directory + filepath free ghc-prim hashable hspec hspec-smallcheck integer-gmp + lifted-base monad-control mono-traversable network primitive + resourcet safe semigroups smallcheck store-core syb + template-haskell text th-lift th-lift-instances th-orphans + th-reify-many th-utilities time transformers unordered-containers + vector void + ]; + testHaskellDepends = [ + array async base base-orphans base64-bytestring bifunctors + bytestring clock containers contravariant cryptohash deepseq + directory filepath free ghc-prim hashable hspec hspec-smallcheck + integer-gmp lifted-base monad-control mono-traversable network + primitive resourcet safe semigroups smallcheck store-core syb + template-haskell text th-lift th-lift-instances th-orphans + th-reify-many th-utilities time transformers unordered-containers + vector void + ]; + benchmarkHaskellDepends = [ + array async base base-orphans base64-bytestring bifunctors + bytestring cereal cereal-vector containers contravariant criterion + cryptohash deepseq directory filepath free ghc-prim hashable hspec + hspec-smallcheck integer-gmp lifted-base monad-control + mono-traversable network primitive resourcet safe semigroups + smallcheck store-core syb template-haskell text th-lift + th-lift-instances th-orphans th-reify-many th-utilities time + transformers unordered-containers vector vector-binary-instances + void weigh + ]; + description = "Fast binary serialization"; + license = stdenv.lib.licenses.mit; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "store-core" = callPackage ({ mkDerivation, base, bytestring, ghc-prim, primitive, text , transformers @@ -224772,6 +224556,22 @@ self: { license = stdenv.lib.licenses.mit; }) {}; + "store-core_0_4_4_1" = callPackage + ({ mkDerivation, base, bytestring, ghc-prim, primitive, text + , transformers + }: + mkDerivation { + pname = "store-core"; + version = "0.4.4.1"; + sha256 = "1dq5wpc6q95nq9jnlwkrnrvf48xz3lq7p5g90g0mym5laq1qhdpc"; + libraryHaskellDepends = [ + base bytestring ghc-prim primitive text transformers + ]; + description = "Fast and lightweight binary serialization"; + license = stdenv.lib.licenses.mit; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "store-streaming" = callPackage ({ mkDerivation, async, base, bytestring, conduit, free, hspec , hspec-smallcheck, network, resourcet, smallcheck, store @@ -224779,8 +224579,8 @@ self: { }: mkDerivation { pname = "store-streaming"; - version = "0.1.0.0"; - sha256 = "04vsglnxqgznnacgmb34z3dsb7pz1kmc2fis1ij5p7k740c9gn2g"; + version = "0.2.0.0"; + sha256 = "0fhcv0lvmhdc53fx9y2dvvykvap7dz4asnajy95kpwhaz6z1xc2k"; libraryHaskellDepends = [ async base bytestring conduit free resourcet store store-core streaming-commons text transformers @@ -228632,22 +228432,30 @@ self: { "symbiote" = callPackage ({ mkDerivation, abides, aeson, async, base, bytestring, cereal - , cereal-text, containers, monad-control, mtl, QuickCheck - , quickcheck-instances, stm, tasty, tasty-hunit, tasty-quickcheck - , text + , containers, exceptions, extractable-singleton, http-types + , monad-control-aligned, mtl, QuickCheck, quickcheck-instances, stm + , tasty, tasty-hunit, tasty-quickcheck, text, wai, wai-extra + , wai-transformers, wai-websockets, warp, websockets + , websockets-simple, websockets-simple-extra, zeromq4-haskell + , zeromq4-simple }: mkDerivation { pname = "symbiote"; - version = "0.0.1.1"; - sha256 = "0l0ibxsi57d4g7s6yg55wc1fxf7mpzpis5ag34i84g41syfwzyb7"; + version = "0.0.2"; + sha256 = "09siz5xy6gvlqy1vd61j7rhhqi6dyg5fc4yl6h1nifchk6126939"; libraryHaskellDepends = [ - abides aeson async base bytestring cereal cereal-text containers - monad-control mtl QuickCheck quickcheck-instances stm text + abides aeson async base bytestring cereal containers exceptions + extractable-singleton monad-control-aligned mtl QuickCheck + quickcheck-instances stm text wai-transformers websockets-simple + websockets-simple-extra zeromq4-haskell zeromq4-simple ]; testHaskellDepends = [ - abides aeson async base bytestring cereal cereal-text containers - monad-control mtl QuickCheck quickcheck-instances stm tasty - tasty-hunit tasty-quickcheck text + abides aeson async base bytestring cereal containers exceptions + extractable-singleton http-types monad-control-aligned mtl + QuickCheck quickcheck-instances stm tasty tasty-hunit + tasty-quickcheck text wai wai-extra wai-transformers wai-websockets + warp websockets websockets-simple websockets-simple-extra + zeromq4-haskell zeromq4-simple ]; description = "Data serialization, communication, and operation verification implementation"; license = stdenv.lib.licenses.bsd3; @@ -231497,8 +231305,6 @@ self: { ]; description = "TAP (Test Anything Protocol) Version 13 formatter for tasty"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "tasty-test-vector" = callPackage @@ -235436,6 +235242,27 @@ self: { license = stdenv.lib.licenses.mit; }) {}; + "th-utilities_0_2_3_1" = callPackage + ({ mkDerivation, base, bytestring, containers, directory, filepath + , hspec, primitive, syb, template-haskell, text, th-orphans, vector + }: + mkDerivation { + pname = "th-utilities"; + version = "0.2.3.1"; + sha256 = "1sy3bgwc85zw999cya92xsp9jllclwbzw9fmjmhqi4r5kj2gyk96"; + libraryHaskellDepends = [ + base bytestring containers directory filepath primitive syb + template-haskell text th-orphans + ]; + testHaskellDepends = [ + base bytestring containers directory filepath hspec primitive syb + template-haskell text th-orphans vector + ]; + description = "Collection of useful functions for use with Template Haskell"; + license = stdenv.lib.licenses.mit; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "thank-you-stars" = callPackage ({ mkDerivation, aeson, base, bytestring, Cabal, containers , directory, filepath, hackage-db, hspec, req, split, text @@ -237740,7 +237567,7 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; - "tls_1_5_1" = callPackage + "tls_1_5_2" = callPackage ({ mkDerivation, asn1-encoding, asn1-types, async, base, bytestring , cereal, cryptonite, data-default-class, gauge, hourglass, memory , mtl, network, QuickCheck, tasty, tasty-quickcheck, transformers @@ -237748,8 +237575,8 @@ self: { }: mkDerivation { pname = "tls"; - version = "1.5.1"; - sha256 = "1fs5q494ip8hi2jdp34wy93hmdd42lwkh1hi0jg3ngdnilpg33yi"; + version = "1.5.2"; + sha256 = "0c23k1aqsdi0kyyg3lcfj78z7bc9xkk0gwy53xmi7b5s6i8dp47b"; libraryHaskellDepends = [ asn1-encoding asn1-types async base bytestring cereal cryptonite data-default-class hourglass memory mtl network transformers x509 @@ -237787,15 +237614,15 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; - "tls-debug_0_4_7" = callPackage + "tls-debug_0_4_8" = callPackage ({ mkDerivation, base, bytestring, cryptonite, data-default-class , network, pem, tls, tls-session-manager, x509, x509-store , x509-system, x509-validation }: mkDerivation { pname = "tls-debug"; - version = "0.4.7"; - sha256 = "1p3ihky5vznjv66f1mbpj33ahkg8g0xgfknldzmgllwsmh533dji"; + version = "0.4.8"; + sha256 = "1x6yjk0m1jrkcy1y6ggrmnhkdrf0kbgvdry6p5i7f4bvfj432qvl"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ @@ -238598,8 +238425,8 @@ self: { }: mkDerivation { pname = "too-many-cells"; - version = "0.2.1.0"; - sha256 = "06sp0c0db4xnik2c361q1g5x8alcfjz9fppxwzz8dbagqbdi110a"; + version = "0.2.2.0"; + sha256 = "02xf5xadicgvhws21901qsn5a5v3hb77wjfy9x0q16zxbif74hvm"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -239220,8 +239047,8 @@ self: { }: mkDerivation { pname = "trackit"; - version = "0.6.2"; - sha256 = "13xydhv9aaw0yk2wa0r2izwn98b0vgsx4vr2r8zpg0qxlhhriidv"; + version = "0.6.3"; + sha256 = "0bjsvz1kc6i2zpzdcjrrncqs3rpl7rfp961njhihymazffhsx3l2"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ @@ -242403,8 +242230,8 @@ self: { ({ mkDerivation, base, doctest, Glob }: mkDerivation { pname = "type-errors-pretty"; - version = "0.0.0.0"; - sha256 = "0yj8c91maanihyl9506ihpxv3zyfvprr359dvd2b9ym3py50kncs"; + version = "0.0.1.0"; + sha256 = "1xp72vsmqamgg9k8w7pimy6q3dn73ammg7zda98a0hb4q9pdcrml"; libraryHaskellDepends = [ base ]; testHaskellDepends = [ base doctest Glob ]; description = "Combinators for writing pretty type errors easily"; @@ -243929,6 +243756,24 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "unagi-chan_0_4_1_3" = callPackage + ({ mkDerivation, async, atomic-primops, base, containers, criterion + , ghc-prim, primitive + }: + mkDerivation { + pname = "unagi-chan"; + version = "0.4.1.3"; + sha256 = "15fnk9x4fd2ryp31fjfrwm8k61m3a0qyb95m4065zc0yi0jyacp2"; + libraryHaskellDepends = [ atomic-primops base ghc-prim primitive ]; + testHaskellDepends = [ + atomic-primops base containers ghc-prim primitive + ]; + benchmarkHaskellDepends = [ async base criterion ]; + description = "Fast concurrent queues with a Chan-like API, and more"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "unagi-streams" = callPackage ({ mkDerivation, base, io-streams, unagi-chan }: mkDerivation { @@ -245181,8 +245026,6 @@ self: { ]; description = "Custom prelude used in Serokell"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "universum_1_6_0" = callPackage @@ -245210,7 +245053,6 @@ self: { description = "Custom prelude used in Serokell"; license = stdenv.lib.licenses.mit; hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "unix_2_7_2_2" = callPackage @@ -246126,35 +245968,6 @@ self: { "uri-bytestring" = callPackage ({ mkDerivation, attoparsec, base, base-compat, blaze-builder , bytestring, containers, criterion, deepseq, deepseq-generics - , generics-sop, HUnit, network-uri, QuickCheck - , quickcheck-instances, semigroups, tasty, tasty-hunit - , tasty-quickcheck, template-haskell, th-lift-instances - , transformers - }: - mkDerivation { - pname = "uri-bytestring"; - version = "0.3.2.1"; - sha256 = "1z61l4l8sg8vyrf7cri0awj5pnpzly8gp7dw9j26v9pcs6z1dgb4"; - libraryHaskellDepends = [ - attoparsec base blaze-builder bytestring containers - template-haskell th-lift-instances - ]; - testHaskellDepends = [ - attoparsec base base-compat blaze-builder bytestring containers - generics-sop HUnit QuickCheck quickcheck-instances semigroups tasty - tasty-hunit tasty-quickcheck transformers - ]; - benchmarkHaskellDepends = [ - base blaze-builder bytestring criterion deepseq deepseq-generics - network-uri - ]; - description = "Haskell URI parsing as ByteStrings"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "uri-bytestring_0_3_2_2" = callPackage - ({ mkDerivation, attoparsec, base, base-compat, blaze-builder - , bytestring, containers, criterion, deepseq, deepseq-generics , hedgehog, HUnit, network-uri, safe, semigroups, tasty , tasty-hedgehog, tasty-hunit, template-haskell, th-lift-instances , transformers @@ -246178,7 +245991,6 @@ self: { ]; description = "Haskell URI parsing as ByteStrings"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "uri-bytestring-aeson" = callPackage @@ -249325,6 +249137,8 @@ self: { pname = "vimeta"; version = "0.2.5.1"; sha256 = "1v46b0215mcyhxma3ascg3paz683j8xqsf4czs8syx4amyls36qg"; + revision = "1"; + editedCabalFile = "1na8qghd918ck1pg058anbh9iy3w4x7hf72ygfwfy23hw00wn5j4"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -259215,18 +259029,18 @@ self: { }) {}; "yampa-test" = callPackage - ({ mkDerivation, base, Cabal, cabal-test-quickcheck - , normaldistribution, QuickCheck, random, Yampa + ({ mkDerivation, base, Cabal, normaldistribution, QuickCheck + , random, tasty, tasty-quickcheck, Yampa }: mkDerivation { pname = "yampa-test"; - version = "0.1.1"; - sha256 = "1qc1aic4apml5akq056i5c460x12hf613r1zkisshjm0na4gx5mb"; + version = "0.2"; + sha256 = "030dakxny9nh0spq04vbxs961y12i2xbr9g9g3q7lk78mhshwv5v"; libraryHaskellDepends = [ base normaldistribution QuickCheck Yampa ]; testHaskellDepends = [ - base Cabal cabal-test-quickcheck QuickCheck random Yampa + base Cabal QuickCheck random tasty tasty-quickcheck Yampa ]; description = "Testing library for Yampa"; license = stdenv.lib.licenses.bsd3; @@ -259500,6 +259314,43 @@ self: { broken = true; }) {}; + "yeamer" = callPackage + ({ mkDerivation, aeson, base, base64-bytestring, blaze-html + , bytestring, containers, data-default-class, diagrams-cairo + , diagrams-lib, directory, dumb-cas, filepath, flat, HaTeX + , js-jquery, microlens, microlens-th, numbered-semigroups + , semigroups, shakespeare, tasty, tasty-hunit, tasty-quickcheck + , template-haskell, temporary, TeX-my-math, texmath, text, these + , these-lens, time, transformers, unix, vector, xml, yesod + , yesod-form, yesod-static, zlib + }: + mkDerivation { + pname = "yeamer"; + version = "0.1.0.3"; + sha256 = "02v33ya0nc0qvsnc5dz33kargyprzxjhbrcdfi439psxh43rw9fp"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson base base64-bytestring blaze-html bytestring containers + data-default-class directory dumb-cas filepath flat HaTeX js-jquery + microlens microlens-th numbered-semigroups semigroups shakespeare + template-haskell temporary TeX-my-math texmath text these + these-lens transformers unix vector xml yesod yesod-form + yesod-static zlib + ]; + executableHaskellDepends = [ + base diagrams-cairo diagrams-lib flat numbered-semigroups + semigroups shakespeare TeX-my-math time + ]; + testHaskellDepends = [ + aeson base numbered-semigroups tasty tasty-hunit tasty-quickcheck + ]; + description = "Yesod-based server for interactive presentation slides"; + license = stdenv.lib.licenses.gpl3; + hydraPlatforms = stdenv.lib.platforms.none; + broken = true; + }) {}; + "yeganesh" = callPackage ({ mkDerivation, base, containers, directory, filepath, process , strict, time, unix, xdg-basedir @@ -261658,31 +261509,6 @@ self: { "yesod-test" = callPackage ({ mkDerivation, attoparsec, base, blaze-builder, blaze-html , bytestring, case-insensitive, conduit, containers, cookie, hspec - , hspec-core, html-conduit, http-types, HUnit, network, pretty-show - , semigroups, text, time, transformers, unliftio, wai, wai-extra - , xml-conduit, xml-types, yesod-core, yesod-form - }: - mkDerivation { - pname = "yesod-test"; - version = "1.6.6.2"; - sha256 = "1c5q11f2wscapl6a16v1r5livjiy8i2iz5zvlcsx89ikrqgvfc58"; - libraryHaskellDepends = [ - attoparsec base blaze-builder blaze-html bytestring - case-insensitive conduit containers cookie hspec-core html-conduit - http-types HUnit network pretty-show semigroups text time - transformers wai wai-extra xml-conduit xml-types yesod-core - ]; - testHaskellDepends = [ - base bytestring containers cookie hspec html-conduit http-types - HUnit text unliftio wai wai-extra xml-conduit yesod-core yesod-form - ]; - description = "integration testing for WAI/Yesod Applications"; - license = stdenv.lib.licenses.mit; - }) {}; - - "yesod-test_1_6_7" = callPackage - ({ mkDerivation, attoparsec, base, blaze-builder, blaze-html - , bytestring, case-insensitive, conduit, containers, cookie, hspec , hspec-core, html-conduit, http-types, HUnit, memory, network , pretty-show, semigroups, text, time, transformers, unliftio, wai , wai-extra, xml-conduit, xml-types, yesod-core, yesod-form @@ -261703,7 +261529,6 @@ self: { ]; description = "integration testing for WAI/Yesod Applications"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "yesod-test-json" = callPackage @@ -263245,8 +263070,8 @@ self: { }: mkDerivation { pname = "zeromq4-simple"; - version = "0.0.0.1"; - sha256 = "0h16chz3x0ipdbw935fvfhpdljbknczk1ivf87519dkrl3c1ypjv"; + version = "0.0.0.2"; + sha256 = "1xk131mia8k6zcl4995cdx15aj74jyrxziah0xmqd0yjnrh4kw8n"; libraryHaskellDepends = [ aeson base bytestring constraints hashable uuid zeromq4-haskell ]; @@ -264145,6 +263970,8 @@ self: { pname = "zxcvbn-dvorak"; version = "0.1.0.0"; sha256 = "07sz5vwgh7vxlr0z2v7bml8j2gy0l2pl62frgl8r211dzjmd7q8m"; + revision = "1"; + editedCabalFile = "19m6h3cal4wc13hh382526bj0z8pdvs7nck0im2cawxh5wna7cq7"; libraryHaskellDepends = [ base base64-bytestring binary binary-instances containers lens text unordered-containers zlib zxcvbn-hs @@ -264163,6 +263990,8 @@ self: { pname = "zxcvbn-hs"; version = "0.2.1.0"; sha256 = "1gvarz005nlz9q5bkdwvplvsmwwchhx0arpq1grmh39r706lh4d8"; + revision = "2"; + editedCabalFile = "05l4pni4264rcivixzakjkph5qr4jr8qb4jbfj2nw106n1zhjaka"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ diff --git a/nixpkgs/pkgs/development/haskell-modules/make-package-set.nix b/nixpkgs/pkgs/development/haskell-modules/make-package-set.nix index cd9a101d3c5..ba477e06e2f 100644 --- a/nixpkgs/pkgs/development/haskell-modules/make-package-set.nix +++ b/nixpkgs/pkgs/development/haskell-modules/make-package-set.nix @@ -133,7 +133,7 @@ let installPhase = '' export HOME="$TMP" mkdir -p "$out" - cabal2nix --compiler=${self.ghc.haskellCompilerName} --system=${hostPlatform.system} ${sha256Arg} "${src}" ${extraCabal2nixOptions} > "$out/default.nix" + cabal2nix --compiler=${self.ghc.haskellCompilerName} --system=${hostPlatform.config} ${sha256Arg} "${src}" ${extraCabal2nixOptions} > "$out/default.nix" ''; }; diff --git a/nixpkgs/pkgs/development/interpreters/elixir/1.9.nix b/nixpkgs/pkgs/development/interpreters/elixir/1.9.nix index b9b2400b78b..2d9fec02e10 100644 --- a/nixpkgs/pkgs/development/interpreters/elixir/1.9.nix +++ b/nixpkgs/pkgs/development/interpreters/elixir/1.9.nix @@ -1,7 +1,7 @@ { mkDerivation }: mkDerivation { - version = "1.9.1"; - sha256 = "106s2a3dykc5iwfrd5icqd737yfzaz1dw4x5v1j5z2fvf46h96dx"; + version = "1.9.2"; + sha256 = "19yn6nx6r627f5zbyc7ckgr96d6b45sgwx95n2gp2imqwqvpj8wc"; minimumOTPVersion = "20"; } diff --git a/nixpkgs/pkgs/development/interpreters/metamath/default.nix b/nixpkgs/pkgs/development/interpreters/metamath/default.nix index 686cfee19a6..3de21191512 100644 --- a/nixpkgs/pkgs/development/interpreters/metamath/default.nix +++ b/nixpkgs/pkgs/development/interpreters/metamath/default.nix @@ -2,21 +2,23 @@ stdenv.mkDerivation { pname = "metamath"; - version = "0.172"; + version = "0.178"; buildInputs = [ autoreconfHook ]; - # This points to my own repository because there is no official repository - # for metamath; there's a download location but it gets updated in place with - # no permanent link. See discussion at - # https://groups.google.com/forum/#!topic/metamath/N4WEWQQVUfY src = fetchFromGitHub { - owner = "Taneb"; - repo = "metamath"; - rev = "43141cd17638f8efb409dc5d46e7de6a6c39ec42"; - sha256 = "07c7df0zl0wsb0pvdgkwikpr8kz7fi3mshxzk61vkamyp68djjb5"; + owner = "metamath"; + repo = "metamath-exe"; + rev = "4f59d60aeb03f92aea3cc7ecf5a2c0fcf08900a5"; + sha256 = "0nrl4nzp6rm2sn365xyjf3g5l5fl58kca7rq08lqyz5gla0wgfcf"; }; + # the files necessary to build the DATA target are not in this distribution + # luckily, they're not really needed so we don't build it. + makeFlags = [ "DATA=" ]; + + installTargets = "install-exec"; + meta = with stdenv.lib; { description = "Interpreter for the metamath proof language"; longDescription = '' diff --git a/nixpkgs/pkgs/development/interpreters/python/cpython/default.nix b/nixpkgs/pkgs/development/interpreters/python/cpython/default.nix index 32aa355d3aa..09c324716bd 100644 --- a/nixpkgs/pkgs/development/interpreters/python/cpython/default.nix +++ b/nixpkgs/pkgs/development/interpreters/python/cpython/default.nix @@ -100,7 +100,7 @@ in with passthru; stdenv.mkDerivation { ] ++ optionals isPy35 [ # Backports support for LD_LIBRARY_PATH from 3.6 ./3.5/ld_library_path.patch - ] ++ optionals isPy37 [ + ] ++ optionals (isPy37 || isPy38) [ # Fix darwin build https://bugs.python.org/issue34027 (fetchpatch { url = https://bugs.python.org/file47666/darwin-libutil.patch; @@ -114,7 +114,7 @@ in with passthru; stdenv.mkDerivation { ( if isPy35 then ./3.5/python-3.x-distutils-C++.patch - else if isPy37 then + else if isPy37 || isPy38 then ./3.7/python-3.x-distutils-C++.patch else fetchpatch { diff --git a/nixpkgs/pkgs/development/interpreters/python/default.nix b/nixpkgs/pkgs/development/interpreters/python/default.nix index 86616cc8890..43a3125d8a0 100644 --- a/nixpkgs/pkgs/development/interpreters/python/default.nix +++ b/nixpkgs/pkgs/development/interpreters/python/default.nix @@ -28,6 +28,7 @@ with pkgs; isPy35 = pythonVersion == "3.5"; isPy36 = pythonVersion == "3.6"; isPy37 = pythonVersion == "3.7"; + isPy38 = pythonVersion == "3.8"; isPy2 = lib.strings.substring 0 1 pythonVersion == "2"; isPy3 = lib.strings.substring 0 1 pythonVersion == "3"; isPy3k = isPy3; @@ -104,9 +105,9 @@ in { major = "3"; minor = "8"; patch = "0"; - suffix = "rc1"; + suffix = ""; }; - sha256 = "08d8j3dsv6yz5zxkqvsa71scxjjzdwarfk6hsjfhaw2xrxndyi5f"; + sha256 = "110d0did9rxn7rg85kf2fwli5hqq44xv2d8bi7d92m7v2d728mmk"; inherit (darwin) CF configd; inherit passthruFun; }; diff --git a/nixpkgs/pkgs/development/interpreters/python/hooks/setuptools-build-hook.sh b/nixpkgs/pkgs/development/interpreters/python/hooks/setuptools-build-hook.sh index db3e4225d29..c99ef313c10 100644 --- a/nixpkgs/pkgs/development/interpreters/python/hooks/setuptools-build-hook.sh +++ b/nixpkgs/pkgs/development/interpreters/python/hooks/setuptools-build-hook.sh @@ -27,9 +27,9 @@ setuptoolsShellHook() { if test -e setup.py; then tmp_path=$(mktemp -d) export PATH="$tmp_path/bin:$PATH" - export PYTHONPATH="@pythonSitePackages@:$PYTHONPATH" + export PYTHONPATH="$tmp_path/@pythonSitePackages@:$PYTHONPATH" mkdir -p "$tmp_path/@pythonSitePackages@" - eval "@pythonInterpreter@ -m pip -e . --prefix $tmp_path >&2" + eval "@pythonInterpreter@ -m pip install -e . --prefix $tmp_path >&2" fi runHook postShellHook diff --git a/nixpkgs/pkgs/development/interpreters/renpy/default.nix b/nixpkgs/pkgs/development/interpreters/renpy/default.nix index 30ac6d2bd5b..846bba9e7e7 100644 --- a/nixpkgs/pkgs/development/interpreters/renpy/default.nix +++ b/nixpkgs/pkgs/development/interpreters/renpy/default.nix @@ -7,7 +7,7 @@ with pythonPackages; stdenv.mkDerivation rec { pname = "renpy"; - version = "7.3.2"; + version = "7.3.3"; meta = with stdenv.lib; { description = "Ren'Py Visual Novel Engine"; @@ -18,7 +18,7 @@ stdenv.mkDerivation rec { src = fetchurl { url = "https://www.renpy.org/dl/${version}/renpy-${version}-source.tar.bz2"; - sha256 = "1i7s9s8invsm5bavw2jlk965pb5h5vgwyk1nhw0z1d22spmj4a4m"; + sha256 = "0wwsm0vg6zd07xmkqrqprymahdl4ifg7bc1lpbrh0qlfs1pvjlss"; }; patches = [ diff --git a/nixpkgs/pkgs/development/libraries/SDL/default.nix b/nixpkgs/pkgs/development/libraries/SDL/default.nix index 610f1b768f2..61609a90dd0 100644 --- a/nixpkgs/pkgs/development/libraries/SDL/default.nix +++ b/nixpkgs/pkgs/development/libraries/SDL/default.nix @@ -13,6 +13,16 @@ with stdenv.lib; +let + extraPropagatedBuildInputs = [ ] + ++ optionals x11Support [ libXext libICE libXrandr ] + ++ optionals openglSupport [ libGL libGLU ] + ++ optional alsaSupport alsaLib + ++ optional pulseaudioSupport libpulseaudio + ++ optional stdenv.isDarwin Cocoa; + rpath = makeLibraryPath extraPropagatedBuildInputs; +in + stdenv.mkDerivation rec { pname = "SDL"; version = "1.2.15"; @@ -31,12 +41,7 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ pkgconfig ] ++ optional stdenv.isLinux libcap; - propagatedBuildInputs = [ libiconv ] - ++ optionals x11Support [ libXext libICE libXrandr ] - ++ optionals openglSupport [ libGL libGLU ] - ++ optional alsaSupport alsaLib - ++ optional pulseaudioSupport libpulseaudio - ++ optional stdenv.isDarwin Cocoa; + propagatedBuildInputs = [ libiconv ] ++ extraPropagatedBuildInputs; buildInputs = [ ] ++ optional (!stdenv.hostPlatform.isMinGW && alsaSupport) audiofile @@ -108,7 +113,7 @@ stdenv.mkDerivation rec { postFixup = '' for lib in $out/lib/*.so* ; do if [[ -L "$lib" ]]; then - patchelf --set-rpath "$(patchelf --print-rpath $lib):${makeLibraryPath propagatedBuildInputs}" "$lib" + patchelf --set-rpath "$(patchelf --print-rpath $lib):${rpath}" "$lib" fi done ''; diff --git a/nixpkgs/pkgs/development/libraries/accounts-qt/default.nix b/nixpkgs/pkgs/development/libraries/accounts-qt/default.nix index 0aace12569a..bae7b56cb8e 100644 --- a/nixpkgs/pkgs/development/libraries/accounts-qt/default.nix +++ b/nixpkgs/pkgs/development/libraries/accounts-qt/default.nix @@ -2,10 +2,10 @@ stdenv.mkDerivation rec { pname = "accounts-qt"; - version = "1.15"; + version = "1.16"; src = fetchFromGitLab { - sha256 = "0cnra7g2mcgzh8ykrj1dpb4khkx676pzdr4ia1bvsp0cli48691w"; + sha256 = "1vmpjvysm0ld8dqnx8msa15hlhrkny02cqycsh4k2azrnijg0xjz"; rev = "VERSION_${version}"; repo = "libaccounts-qt"; owner = "accounts-sso"; diff --git a/nixpkgs/pkgs/development/libraries/accountsservice/default.nix b/nixpkgs/pkgs/development/libraries/accountsservice/default.nix index 860ac3fde41..11ac842342b 100644 --- a/nixpkgs/pkgs/development/libraries/accountsservice/default.nix +++ b/nixpkgs/pkgs/development/libraries/accountsservice/default.nix @@ -70,6 +70,11 @@ stdenv.mkDerivation rec { url = "https://gitlab.freedesktop.org/accountsservice/accountsservice/commit/0e712e935abd26499ff5995ab363e5bfd9ee7c4c.patch"; sha256 = "1y60a5fmgfqjzprwpizilrazqn3mggdlgc5sgcpsprsp62fv78rl"; }) + # Don't use etc/dbus-1/system.d + (fetchpatch { + url = "https://gitlab.freedesktop.org/accountsservice/accountsservice/commit/ced73d0fcbd2a54085a660d260482fc70d79bd5c.patch"; + sha256 = "0s7fknfgxl8hnf6givmhfg4586fjb2n64i9arh1w7xnq7x9x8d4c"; + }) ]; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/libraries/arrayfire/default.nix b/nixpkgs/pkgs/development/libraries/arrayfire/default.nix new file mode 100644 index 00000000000..17f43a9ddfa --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/arrayfire/default.nix @@ -0,0 +1,86 @@ +{ stdenv, fetchurl, fetchFromGitHub, cmake, pkgconfig +, cudatoolkit, opencl-clhpp, ocl-icd, fftw, fftwFloat, mkl +, blas, openblas, boost, mesa, libGLU_combined +, freeimage, python +}: + +let + version = "3.6.4"; + + clfftSource = fetchFromGitHub { + owner = "arrayfire"; + repo = "clFFT"; + rev = "16925fb93338b3cac66490b5cf764953d6a5dac7"; + sha256 = "0y35nrdz7w4n1l17myhkni3hwm37z775xn6f76xmf1ph7dbkslsc"; + fetchSubmodules = true; + }; + + clblasSource = fetchFromGitHub { + owner = "arrayfire"; + repo = "clBLAS"; + rev = "1f3de2ae5582972f665c685b18ef0df43c1792bb"; + sha256 = "154mz52r5hm0jrp5fqrirzzbki14c1jkacj75flplnykbl36ibjs"; + fetchSubmodules = true; + }; + + cl2hppSource = fetchurl { + url = "https://github.com/KhronosGroup/OpenCL-CLHPP/releases/download/v2.0.10/cl2.hpp"; + sha256 = "1v4q0g6b6mwwsi0kn7kbjn749j3qafb9r4ld3zdq1163ln9cwnvw"; + }; + +in stdenv.mkDerivation { + pname = "arrayfire"; + inherit version; + + src = fetchurl { + url = "http://arrayfire.com/arrayfire_source/arrayfire-full-${version}.tar.bz2"; + sha256 = "1fin7a9rliyqic3z83agkpb8zlq663q6gdxsnm156cs8s7f7rc9h"; + }; + + cmakeFlags = [ + "-DAF_BUILD_OPENCL=OFF" + "-DAF_BUILD_EXAMPLES=OFF" + "-DBUILD_TESTING=OFF" + "-DCMAKE_LIBRARY_PATH=${cudatoolkit}/lib/stubs" + ]; + + patches = [ ./no-download.patch ]; + + postPatch = '' + mkdir -p ./build/third_party/clFFT/src + cp -R --no-preserve=mode,ownership ${clfftSource}/ ./build/third_party/clFFT/src/clFFT-ext/ + mkdir -p ./build/third_party/clBLAS/src + cp -R --no-preserve=mode,ownership ${clblasSource}/ ./build/third_party/clBLAS/src/clBLAS-ext/ + mkdir -p ./build/include/CL + cp -R --no-preserve=mode,ownership ${cl2hppSource} ./build/include/CL/cl2.hpp + ''; + + preBuild = '' + export CUDA_PATH="${cudatoolkit}" + ''; + + enableParallelBuilding = true; + + nativeBuildInputs = [ + cmake + pkgconfig + ]; + + buildInputs = [ + opencl-clhpp fftw fftwFloat + mkl + openblas + libGLU_combined + mesa freeimage + boost.out boost.dev python + ] ++ (stdenv.lib.optional stdenv.isLinux [ cudatoolkit ocl-icd ]); + + meta = with stdenv.lib; { + description = "A general-purpose library that simplifies the process of developing software that targets parallel and massively-parallel architectures including CPUs, GPUs, and other hardware acceleration devices"; + license = licenses.bsd3; + homepage = https://arrayfire.com/ ; + platforms = platforms.linux ++ platforms.darwin; + maintainers = with stdenv.lib.maintainers; [ chessai ]; + inherit version; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/arrayfire/no-download.patch b/nixpkgs/pkgs/development/libraries/arrayfire/no-download.patch new file mode 100644 index 00000000000..2b3ac492a54 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/arrayfire/no-download.patch @@ -0,0 +1,28 @@ +diff --git a/CMakeModules/build_clBLAS.cmake b/CMakeModules/build_clBLAS.cmake +index 8de529e8..6361b613 100644 +--- a/CMakeModules/build_clBLAS.cmake ++++ b/CMakeModules/build_clBLAS.cmake +@@ -14,8 +14,7 @@ find_package(OpenCL) + + ExternalProject_Add( + clBLAS-ext +- GIT_REPOSITORY https://github.com/arrayfire/clBLAS.git +- GIT_TAG arrayfire-release ++ DOWNLOAD_COMMAND true + BUILD_BYPRODUCTS ${clBLAS_location} + PREFIX "${prefix}" + INSTALL_DIR "${prefix}" +diff --git a/CMakeModules/build_clFFT.cmake b/CMakeModules/build_clFFT.cmake +index 28be38a3..85e3915e 100644 +--- a/CMakeModules/build_clFFT.cmake ++++ b/CMakeModules/build_clFFT.cmake +@@ -20,8 +20,7 @@ ENDIF() + + ExternalProject_Add( + clFFT-ext +- GIT_REPOSITORY https://github.com/arrayfire/clFFT.git +- GIT_TAG arrayfire-release ++ DOWNLOAD_COMMAND true + PREFIX "${prefix}" + INSTALL_DIR "${prefix}" + UPDATE_COMMAND "" diff --git a/nixpkgs/pkgs/development/libraries/aspell/dictionaries.nix b/nixpkgs/pkgs/development/libraries/aspell/dictionaries.nix index fa9a45f1ed3..05a1c95c81f 100644 --- a/nixpkgs/pkgs/development/libraries/aspell/dictionaries.nix +++ b/nixpkgs/pkgs/development/libraries/aspell/dictionaries.nix @@ -298,10 +298,10 @@ in rec { en = buildOfficialDict { language = "en"; - version = "2018.04.16-0"; + version = "2019.10.06-0"; fullName = "English"; filename = "aspell6"; - sha256 = "0bxxdzkk9g27plg22y9qzsx9cfjw3aa29w5bmzs561qc9gkp247i"; + sha256 = "1zai9wrqwgb9z9vfgb22qhrvxvg73jg0ix44j1khm2f6m96lncr4"; }; eo = buildOfficialDict { @@ -919,12 +919,12 @@ in rec { src1 = fetchurl { url = http://jpetrie.net/wp-content/uploads/custom_scientific_US.txt; - sha256 = "1psqm094zl4prk2f8h18jv0d471hxykzd1zdnrlx7gzrzy6pz5r3"; + sha256 = "1nf4py2mg0mlv9s5a7had2wn29z2v6bcca0fs6rbpdn4nqc4s7dm"; }; src2 = fetchurl { url = http://jpetrie.net/wp-content/uploads/custom_scientific_UK.txt; - sha256 = "17ss1sdr3k70zbyx2z9xf74345slrp41gbkpih8axrmg4x92fgm1"; + sha256 = "0f88il1ds6qazy1ghxviqcwsywrbf6pzl2lmzf4f3qvhdfb6f1y0"; }; langInputs = [ en ]; diff --git a/nixpkgs/pkgs/development/libraries/assimp/default.nix b/nixpkgs/pkgs/development/libraries/assimp/default.nix index d5a98b0ce92..b9207e51706 100644 --- a/nixpkgs/pkgs/development/libraries/assimp/default.nix +++ b/nixpkgs/pkgs/development/libraries/assimp/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "assimp"; - version = "4.1.0"; + version = "5.0.0"; src = fetchFromGitHub{ owner = "assimp"; repo = "assimp"; rev = "v${version}"; - sha256 = "00g61g3ixmfszzjncpvm8x7gp2livaj4lmhbycjmrw4x3gfqlc4r"; + sha256 = "17y5q5hbygmb0cgf96gd3v8sal5g69cp8hmh1cs3yz7v00kjysmz"; }; buildInputs = [ cmake boost zlib ]; diff --git a/nixpkgs/pkgs/development/libraries/avahi/default.nix b/nixpkgs/pkgs/development/libraries/avahi/default.nix index ee451818831..babfd6849a8 100644 --- a/nixpkgs/pkgs/development/libraries/avahi/default.nix +++ b/nixpkgs/pkgs/development/libraries/avahi/default.nix @@ -39,7 +39,7 @@ stdenv.mkDerivation rec { configureFlags = [ "--disable-qt3" "--disable-gdbm" "--disable-mono" - "--disable-gtk" + "--disable-gtk" "--with-dbus-sys=${placeholder "out"}/share/dbus-1/system.d" (stdenv.lib.enableFeature gtk3Support "gtk3") "--${if qt4Support then "enable" else "disable"}-qt4" (stdenv.lib.enableFeature withPython "python") diff --git a/nixpkgs/pkgs/development/libraries/cereal/default.nix b/nixpkgs/pkgs/development/libraries/cereal/default.nix new file mode 100644 index 00000000000..7802be9c4f0 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/cereal/default.nix @@ -0,0 +1,23 @@ +{ stdenv, fetchFromGitHub, cmake }: +stdenv.mkDerivation rec { + pname = "cereal"; + version = "1.2.2"; + + nativeBuildInputs = [ cmake ]; + + src = fetchFromGitHub { + owner = "USCiLab"; + repo = "cereal"; + rev = "v${version}"; + sha256 = "1ckr8r03ggg5pyzg8yw40d5ssq40h5najvyqlnxc85fxxp8rnrx4"; + }; + + cmakeFlagsArray = [ "-DJUST_INSTALL_CEREAL=yes" ]; + + meta = with stdenv.lib; { + description = "A header-only C++11 serialization library"; + homepage = https://uscilab.github.io/cereal/; + platforms = platforms.all; + license = licenses.mit; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/chromaprint/default.nix b/nixpkgs/pkgs/development/libraries/chromaprint/default.nix index fd868884f98..6ad6a09ec14 100644 --- a/nixpkgs/pkgs/development/libraries/chromaprint/default.nix +++ b/nixpkgs/pkgs/development/libraries/chromaprint/default.nix @@ -2,18 +2,18 @@ stdenv.mkDerivation rec { pname = "chromaprint"; - version = "1.3.2"; + version = "1.4.3"; src = fetchurl { - url = "https://bitbucket.org/acoustid/chromaprint/downloads/${pname}-${version}.tar.gz"; - sha256 = "0lln8dh33gslb9cbmd1hcv33pr6jxdwipd8m8gbsyhksiq6r1by3"; + url = "https://github.com/acoustid/chromaprint/releases/download/v${version}/${pname}-${version}.tar.gz"; + sha256 = "10kz8lncal4s2rp2rqpgc6xyjp0jzcrihgkx7chf127vfs5n067a"; }; nativeBuildInputs = [ cmake ]; buildInputs = [ boost ffmpeg ]; - cmakeFlags = [ "-DBUILD_EXAMPLES=ON" ]; + cmakeFlags = [ "-DBUILD_EXAMPLES=ON" "-DBUILD_TOOLS=ON" ]; meta = with stdenv.lib; { homepage = https://acoustid.org/chromaprint; diff --git a/nixpkgs/pkgs/development/libraries/dqlite/default.nix b/nixpkgs/pkgs/development/libraries/dqlite/default.nix index 093abe085f0..84b4b1bab77 100644 --- a/nixpkgs/pkgs/development/libraries/dqlite/default.nix +++ b/nixpkgs/pkgs/development/libraries/dqlite/default.nix @@ -1,24 +1,36 @@ -{ stdenv, fetchFromGitHub, autoreconfHook, pkgconfig, libuv, sqlite-replication }: +{ stdenv, fetchFromGitHub, autoreconfHook, pkgconfig, file, libco-canonical +, libuv, raft-canonical, sqlite-replication }: with stdenv.lib; stdenv.mkDerivation rec { pname = "dqlite"; - version = "0.2.6"; + version = "1.0.0"; src = fetchFromGitHub { - owner = "CanonicalLtd"; + owner = "canonical"; repo = pname; rev = "v${version}"; - sha256 = "13l7na5858v2ah1vim6lafmzajgkymfi5rd6bk14cm4vcnxc40wb"; + sha256 = "0670c1c84lcf5vl3h6mlff00fz2fnm766bzlk526sjjzysx3zjya"; }; - nativeBuildInputs = [ autoreconfHook pkgconfig ]; + nativeBuildInputs = [ autoreconfHook file pkgconfig ]; + buildInputs = [ libco-canonical.dev libuv raft-canonical.dev + sqlite-replication ]; - buildInputs = [ libuv sqlite-replication ]; + preConfigure= '' + substituteInPlace configure --replace /usr/bin/ " " + ''; + + doCheck = true; + + outputs = [ "dev" "out" ]; meta = { - description = "Expose a SQLite database over the network and replicate it across a cluster of peers"; + description = '' + Expose a SQLite database over the network and replicate it across a + cluster of peers + ''; homepage = https://github.com/CanonicalLtd/dqlite/; license = licenses.asl20; maintainers = with maintainers; [ joko ]; diff --git a/nixpkgs/pkgs/development/libraries/ffmpeg/generic.nix b/nixpkgs/pkgs/development/libraries/ffmpeg/generic.nix index 4c0ca0210cc..b3310e44ae0 100644 --- a/nixpkgs/pkgs/development/libraries/ffmpeg/generic.nix +++ b/nixpkgs/pkgs/development/libraries/ffmpeg/generic.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchurl, pkgconfig, perl, texinfo, yasm +{ stdenv, fetchurl, pkgconfig, addOpenGLRunpath, perl, texinfo, yasm , alsaLib, bzip2, fontconfig, freetype, gnutls, libiconv, lame, libass, libogg , libssh, libtheora, libva, libdrm, libvorbis, libvpx, lzma, libpulseaudio, soxr , x264, x265, xvidcore, zlib, libopus, speex, nv-codec-headers, dav1d @@ -42,7 +42,7 @@ let inherit (stdenv) isDarwin isFreeBSD isLinux isAarch32; - inherit (stdenv.lib) optional optionals enableFeature; + inherit (stdenv.lib) optional optionals optionalString enableFeature; cmpVer = builtins.compareVersions; reqMin = requiredVersion: (cmpVer requiredVersion branch != 1); @@ -157,7 +157,7 @@ stdenv.mkDerivation rec { "--enable-cross-compile" ] ++ optional stdenv.cc.isClang "--cc=clang"; - nativeBuildInputs = [ perl pkgconfig texinfo yasm ]; + nativeBuildInputs = [ addOpenGLRunpath perl pkgconfig texinfo yasm ]; buildInputs = [ bzip2 fontconfig freetype gnutls libiconv lame libass libogg libssh libtheora @@ -186,6 +186,10 @@ stdenv.mkDerivation rec { substituteInPlace $pc \ --replace "includedir=$out" "includedir=''${!outputInclude}" done + '' + optionalString stdenv.isLinux '' + # Set RUNPATH so that libnvcuvid in /run/opengl-driver(-32)/lib can be found. + # See the explanation in addOpenGLRunpath. + addOpenGLRunpath $out/lib/libavcodec.so* ''; installFlags = [ "install-man" ]; diff --git a/nixpkgs/pkgs/development/libraries/flatpak/default.nix b/nixpkgs/pkgs/development/libraries/flatpak/default.nix index d29be4cb930..edb3c27ebf5 100644 --- a/nixpkgs/pkgs/development/libraries/flatpak/default.nix +++ b/nixpkgs/pkgs/development/libraries/flatpak/default.nix @@ -61,6 +61,7 @@ stdenv.mkDerivation rec { configureFlags = [ "--with-system-bubblewrap=${bubblewrap}/bin/bwrap" "--with-system-dbus-proxy=${xdg-dbus-proxy}/bin/xdg-dbus-proxy" + "--with-dbus-config-dir=${placeholder "out"}/share/dbus-1/system.d" "--localstatedir=/var" "--enable-installed-tests" ]; diff --git a/nixpkgs/pkgs/development/libraries/geoclue/default.nix b/nixpkgs/pkgs/development/libraries/geoclue/default.nix index cc2037791e0..d597ed41072 100644 --- a/nixpkgs/pkgs/development/libraries/geoclue/default.nix +++ b/nixpkgs/pkgs/development/libraries/geoclue/default.nix @@ -43,6 +43,7 @@ stdenv.mkDerivation rec { "--sysconfdir=/etc" "-Dsysconfdir_install=${placeholder "out"}/etc" "-Ddbus-srv-user=geoclue" + "-Ddbus-sys-dir=${placeholder "out"}/share/dbus-1/system.d" ] ++ optionals stdenv.isDarwin [ "-D3g-source=false" "-Dcdma-source=false" diff --git a/nixpkgs/pkgs/development/libraries/gnome-online-accounts/default.nix b/nixpkgs/pkgs/development/libraries/gnome-online-accounts/default.nix index f97a49e26b8..82847853588 100644 --- a/nixpkgs/pkgs/development/libraries/gnome-online-accounts/default.nix +++ b/nixpkgs/pkgs/development/libraries/gnome-online-accounts/default.nix @@ -1,6 +1,6 @@ { stdenv, fetchurl, pkgconfig, vala, glib, libxslt, gtk3, wrapGAppsHook , webkitgtk, json-glib, librest, libsecret, gtk-doc, gobject-introspection -, gettext, icu, glib-networking, hicolor-icon-theme +, gettext, icu, glib-networking , libsoup, docbook_xsl, docbook_xml_dtd_412, gnome3, gcr, kerberos }: @@ -31,7 +31,6 @@ in stdenv.mkDerivation rec { nativeBuildInputs = [ pkgconfig gobject-introspection vala gettext wrapGAppsHook libxslt docbook_xsl docbook_xml_dtd_412 gtk-doc - hicolor-icon-theme # for setup-hook ]; buildInputs = [ glib gtk3 webkitgtk json-glib librest libsecret glib-networking icu libsoup diff --git a/nixpkgs/pkgs/development/libraries/google-cloud-cpp/default.nix b/nixpkgs/pkgs/development/libraries/google-cloud-cpp/default.nix index 7dd075e440e..be7132dc845 100644 --- a/nixpkgs/pkgs/development/libraries/google-cloud-cpp/default.nix +++ b/nixpkgs/pkgs/development/libraries/google-cloud-cpp/default.nix @@ -1,65 +1,62 @@ -{ stdenv, grpc, curl, cmake, pkgconfig, fetchFromGitHub, doxygen, protobuf, crc32c, c-ares, nlohmann_json, fetchurl }: +{ stdenv, clang-tools, grpc, curl, cmake, pkgconfig, fetchFromGitHub, doxygen, protobuf, crc32c, c-ares, fetchurl, openssl, zlib }: let - googleapis_rev = "a8ee1416f4c588f2ab92da72e7c1f588c784d3e6"; - googleapis = fetchurl { - name = "${googleapis_rev}.tar.gz"; - url = "https://github.com/googleapis/googleapis/archive/${googleapis_rev}.tar.gz"; - sha256 = "1kxi27r034p7jfldhvgpbn6rqqqddycnja47m6jyjxj4rcmrp2kb"; + googleapis = fetchFromGitHub { + owner = "googleapis"; + repo = "googleapis"; + rev = "9c9f778aedde02f9826d2ae5d0f9c96409ba0f25"; + sha256 = "1gd3nwv8qf503wy6km0ad6akdvss9w5b1k3jqizy5gah1fkirkpi"; + }; + googleapis-cpp-cmakefiles = stdenv.mkDerivation rec { + pname = "googleapis-cpp-cmakefiles"; + version = "0.1.5"; + src = fetchFromGitHub { + owner = "googleapis"; + repo = "cpp-cmakefiles"; + rev = "v${version}"; + sha256 = "02zkcq2wl831ayd9qy009xvfx7q80pgycx7mzz9vknwd0nn6dd0n"; + }; + + nativeBuildInputs = [ cmake pkgconfig ]; + buildInputs = [ c-ares c-ares.cmake-config grpc openssl protobuf zlib ]; + + postPatch = '' + sed -e 's,https://github.com/googleapis/googleapis/archive/9c9f778aedde02f9826d2ae5d0f9c96409ba0f25.tar.gz,file://${googleapis},' \ + -i CMakeLists.txt + ''; + }; + _nlohmann_json = fetchurl { + url = "https://github.com/nlohmann/json/releases/download/v3.4.0/json.hpp"; + sha256 = "0pw3jpi572irbp2dqclmyhgic6k9rxav5mpp9ygbp9xj48gnvnk3"; }; in stdenv.mkDerivation rec { pname = "google-cloud-cpp"; - version = "0.11.0"; + version = "0.14.0"; src = fetchFromGitHub { owner = "googleapis"; repo = "google-cloud-cpp"; rev = "v${version}"; - sha256 = "1w942gzyv01ym1cv2a417x92zxra9s2v3xz5crcv84j919f616f8"; + sha256 = "15wci4m8h6py7fqfziq8mp5m6pxp2h1cbh5rp2k90mk5js4jb9pa"; }; - buildInputs = [ curl grpc protobuf nlohmann_json crc32c c-ares c-ares.cmake-config ]; - nativeBuildInputs = [ cmake pkgconfig doxygen ]; + buildInputs = [ curl crc32c c-ares c-ares.cmake-config googleapis-cpp-cmakefiles grpc protobuf ]; + nativeBuildInputs = [ clang-tools cmake pkgconfig doxygen ]; outputs = [ "out" "dev" ]; postPatch = '' - NLOHMANN_SHA256=$(sha256sum ${nlohmann_json}/include/nlohmann/json.hpp | cut -f1 -d' ') - sed -e 's,https://github.com/nlohmann/json/releases/download/.*,file://${nlohmann_json}/include/nlohmann/json.hpp"),' \ - -e "s,JSON_SHA256 .*,JSON_SHA256 ''${NLOHMANN_SHA256}," \ - -i cmake/DownloadNlohmannJson.cmake - - sed -e 's,https://github.com/googleapis/googleapis/archive/${googleapis_rev}.tar.gz,file://${googleapis},' \ - -i cmake/external/googleapis.cmake - - # Fixup the library path. It would build a path like /build/external//nix/store/…-foo/lib/foo.so for each library instead of /build/external/lib64/foo.so - sed -e 's,''${CMAKE_INSTALL_LIBDIR},lib64,g' \ - -e 's,;lib64,lib,g' \ - -i cmake/ExternalProjectHelper.cmake - ''; - - preFixup = '' - mv --no-clobber $out/lib64/cmake/* $out/lib/cmake - mv --no-clobber $out/lib64/pkgconfig/* $out/lib/pkgconfig - rmdir $out/lib64/cmake $out/lib64/pkgconfig - find $out/lib64 - - for file in $out/lib/pkgconfig/*; do - sed -e 's,\''${prefix}//,/,g' -i $file - done + sed -e 's,https://github.com/nlohmann/json/releases/download/v3.4.0/json.hpp,file://${_nlohmann_json},' \ + -i cmake/DownloadNlohmannJson.cmake ''; cmakeFlags = [ - "-DGOOGLE_CLOUD_CPP_BIGTABLE_ENABLE_INSTALL=no" - "-DGOOGLE_CLOUD_CPP_DEPENDENCY_PROVIDER=package" - "-DGOOGLE_CLOUD_CPP_GOOGLEAPIS_PROVIDER=external" "-DBUILD_SHARED_LIBS:BOOL=ON" - "-DGOOGLE_CLOUD_CPP_INSTALL_RPATH=$(out)/lib" ]; meta = with stdenv.lib; { license = with licenses; [ asl20 ]; homepage = https://github.com/googleapis/google-cloud-cpp; description = "C++ Idiomatic Clients for Google Cloud Platform services"; - maintainers = with maintainers; [ andir ]; + maintainers = with maintainers; [ andir flokli ]; }; } diff --git a/nixpkgs/pkgs/development/libraries/gtk/2.x.nix b/nixpkgs/pkgs/development/libraries/gtk/2.x.nix index f1a53390467..8bef9d92f5f 100644 --- a/nixpkgs/pkgs/development/libraries/gtk/2.x.nix +++ b/nixpkgs/pkgs/development/libraries/gtk/2.x.nix @@ -25,9 +25,12 @@ stdenv.mkDerivation rec { enableParallelBuilding = true; - setupHook = ./setup-hook.sh; + setupHooks = [ + ./gtk2-clean-immodules-cache.sh + ./drop-icon-theme-cache.sh + ]; - nativeBuildInputs = [ setupHook perl pkgconfig gettext gobject-introspection ]; + nativeBuildInputs = [ setupHooks perl pkgconfig gettext gobject-introspection ]; patches = [ ./2.0-immodules.cache.patch diff --git a/nixpkgs/pkgs/development/libraries/gtk/3.x.nix b/nixpkgs/pkgs/development/libraries/gtk/3.x.nix index 3f599a7846f..a5bbb73e97f 100644 --- a/nixpkgs/pkgs/development/libraries/gtk/3.x.nix +++ b/nixpkgs/pkgs/development/libraries/gtk/3.x.nix @@ -24,7 +24,6 @@ , libxkbcommon , gmp , gnome3 -, hicolor-icon-theme , gsettings-desktop-schemas , sassc , x11Support ? stdenv.isLinux @@ -50,7 +49,10 @@ stdenv.mkDerivation rec { outputs = [ "out" "dev" ]; outputBin = "dev"; - setupHook = ./gtk3-setup-hook.sh; + setupHooks = [ + ./gtk3-clean-immodules-cache.sh + ./drop-icon-theme-cache.sh + ]; src = fetchurl { url = "mirror://gnome/sources/gtk+/${stdenv.lib.versions.majorMinor version}/gtk+-${version}.tar.xz"; @@ -103,14 +105,13 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ gettext gobject-introspection - hicolor-icon-theme # setup-hook makeWrapper meson ninja pkgconfig python3 sassc - setupHook + setupHooks ]; buildInputs = [ diff --git a/nixpkgs/pkgs/development/libraries/gtk/drop-icon-theme-cache.sh b/nixpkgs/pkgs/development/libraries/gtk/drop-icon-theme-cache.sh new file mode 100644 index 00000000000..8f2cb8a334a --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/gtk/drop-icon-theme-cache.sh @@ -0,0 +1,19 @@ +# shellcheck shell=bash + +# Packages often run gtk-update-icon-cache to include their icons in themes’ icon cache. +# However, since each package is installed to its own prefix, the files will only collide. +dropIconThemeCache() { + if [[ -z "${dontDropIconThemeCache:-}" ]]; then + local icondir="${out:?}/share/icons" + if [[ -d "${icondir}" ]]; then + # App icons are supposed to go to hicolor theme, since it is a fallback theme as per [icon-theme-spec], but some might still choose to install stylized icons to other themes. + find "${icondir}" -name 'icon-theme.cache' -print0 \ + | while IFS= read -r -d '' file; do + echo "Removing ${file}" + rm -f "${file}" + done + fi + fi +} + +preFixupPhases="$preFixupPhases dropIconThemeCache" diff --git a/nixpkgs/pkgs/development/libraries/gtk/setup-hook.sh b/nixpkgs/pkgs/development/libraries/gtk/gtk2-clean-immodules-cache.sh index c2b0ab502db..dde991fd27c 100644 --- a/nixpkgs/pkgs/development/libraries/gtk/setup-hook.sh +++ b/nixpkgs/pkgs/development/libraries/gtk/gtk2-clean-immodules-cache.sh @@ -1,8 +1,10 @@ +# shellcheck shell=bash + fixupOutputHooks+=(_gtk2CleanComments) # Clean comments that link to generator of the file _gtk2CleanComments() { - local f="$prefix/lib/gtk-2.0/2.10.0/immodules.cache" + local f="${prefix:?}/lib/gtk-2.0/2.10.0/immodules.cache" if [ -f "$f" ]; then sed 's|Created by .*bin/gtk-query-|Created by bin/gtk-query-|' -i "$f" fi diff --git a/nixpkgs/pkgs/development/libraries/gtk/gtk3-setup-hook.sh b/nixpkgs/pkgs/development/libraries/gtk/gtk3-clean-immodules-cache.sh index bddeb2d25d5..d2d5287831a 100644 --- a/nixpkgs/pkgs/development/libraries/gtk/gtk3-setup-hook.sh +++ b/nixpkgs/pkgs/development/libraries/gtk/gtk3-clean-immodules-cache.sh @@ -1,10 +1,11 @@ +# shellcheck shell=bash + fixupOutputHooks+=(_gtk3CleanComments) # Clean comments that link to generator of the file _gtk3CleanComments() { - local f="$prefix/lib/gtk-3.0/3.0.0/immodules.cache" + local f="${prefix:?}/lib/gtk-3.0/3.0.0/immodules.cache" if [ -f "$f" ]; then sed 's|Created by .*bin/gtk-query-|Created by bin/gtk-query-|' -i "$f" fi } - diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/breeze-icons.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/breeze-icons.nix index c8382ed3408..d9192b94036 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/breeze-icons.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/breeze-icons.nix @@ -1,10 +1,14 @@ -{ mkDerivation, lib, extra-cmake-modules, gtk3, qtsvg }: +{ mkDerivation, lib, extra-cmake-modules, gtk3, qtsvg, hicolor-icon-theme }: mkDerivation { name = "breeze-icons"; meta = { maintainers = [ lib.maintainers.ttuegel ]; }; nativeBuildInputs = [ extra-cmake-modules gtk3 ]; buildInputs = [ qtsvg ]; + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + dontDropIconThemeCache = true; outputs = [ "out" ]; # only runtime outputs postInstall = '' gtk-update-icon-cache "''${out:?}/share/icons/breeze" diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/extra-cmake-modules/nix-lib-path.patch b/nixpkgs/pkgs/development/libraries/kde-frameworks/extra-cmake-modules/nix-lib-path.patch index bd105d2b4a9..f019e67d3f0 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/extra-cmake-modules/nix-lib-path.patch +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/extra-cmake-modules/nix-lib-path.patch @@ -1,8 +1,8 @@ diff --git a/kde-modules/KDEInstallDirs.cmake b/kde-modules/KDEInstallDirs.cmake -index 275fd65..a04596c 100644 +index 0acd33f..c04b0a5 100644 --- a/kde-modules/KDEInstallDirs.cmake +++ b/kde-modules/KDEInstallDirs.cmake -@@ -232,34 +232,6 @@ +@@ -236,35 +236,6 @@ # GNUInstallDirs code deals with re-configuring, but that is dealt with # by the _define_* macros in this module). set(_LIBDIR_DEFAULT "lib") @@ -17,6 +17,7 @@ index 275fd65..a04596c 100644 -# See https://wiki.debian.org/Multiarch -if((CMAKE_SYSTEM_NAME MATCHES "Linux|kFreeBSD" OR CMAKE_SYSTEM_NAME STREQUAL "GNU") - AND NOT CMAKE_CROSSCOMPILING +- AND NOT EXISTS "/etc/arch-release" - AND NOT DEFINED ENV{FLATPAK_ID}) - if (EXISTS "/etc/debian_version") # is this a debian system ? - if(CMAKE_LIBRARY_ARCHITECTURE) diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/extra-cmake-modules/setup-hook.sh b/nixpkgs/pkgs/development/libraries/kde-frameworks/extra-cmake-modules/setup-hook.sh index 4df086ddbf2..35982e86628 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/extra-cmake-modules/setup-hook.sh +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/extra-cmake-modules/setup-hook.sh @@ -53,13 +53,12 @@ ecmPostHook() { } postHooks+=(ecmPostHook) -xdgDataSubdirs=( - "doc" "config.kcfg" "kconf_update" "kservices5" "kservicetypes5" \ +xdgDataSubdirs=( \ + "config.kcfg" "kconf_update" "kservices5" "kservicetypes5" \ "kxmlgui5" "knotifications5" "icons" "locale" "sounds" "templates" \ "wallpapers" "applications" "desktop-directories" "mime" "appdata" "dbus-1" \ ) - ecmHostPathSeen=( ) ecmUnseenHostPath() { @@ -104,5 +103,10 @@ ecmHostPathHook() { then qtWrapperArgs+=(--prefix INFOPATH : "$infoDir") fi + + if [ -d "$1/dbus-1" ] + then + propagatedUserEnvPkgs+=" $1" + fi } -addEnvHooks "$hostOffset" ecmHostPathHook +addEnvHooks "$targetOffset" ecmHostPathHook diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/fetch.sh b/nixpkgs/pkgs/development/libraries/kde-frameworks/fetch.sh index 096376ca671..f06a515a83b 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/fetch.sh +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/fetch.sh @@ -1 +1 @@ -WGET_ARGS=( https://download.kde.org/stable/frameworks/5.61/ ) +WGET_ARGS=( https://download.kde.org/stable/frameworks/5.62/ ) diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/kconfigwidgets/default.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/kconfigwidgets/default.nix index e06d9547de0..3d7c7cdedef 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/kconfigwidgets/default.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/kconfigwidgets/default.nix @@ -1,13 +1,13 @@ { mkDerivation, lib, extra-cmake-modules, - kauth, kcodecs, kconfig, kdoctools, kguiaddons, ki18n, kwidgetsaddons, qtbase, + kauth, kcodecs, kconfig, kdoctools, kguiaddons, ki18n, kwidgetsaddons, qttools, qtbase, }: mkDerivation { name = "kconfigwidgets"; meta = { maintainers = [ lib.maintainers.ttuegel ]; }; nativeBuildInputs = [ extra-cmake-modules kdoctools ]; - buildInputs = [ kguiaddons ki18n qtbase ]; + buildInputs = [ kguiaddons ki18n qtbase qttools ]; propagatedBuildInputs = [ kauth kcodecs kconfig kwidgetsaddons ]; patches = [ ./0001-qdiriterator-follow-symlinks.patch ]; outputs = [ "out" "dev" ]; diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/kiconthemes/default.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/kiconthemes/default.nix index 4b8e0059377..8faac005f2a 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/kiconthemes/default.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/kiconthemes/default.nix @@ -2,7 +2,7 @@ mkDerivation, lib, copyPathsToStore, extra-cmake-modules, breeze-icons, karchive, kcoreaddons, kconfigwidgets, ki18n, kitemviews, - qtbase, qtsvg, + qtbase, qtsvg, qttools, }: mkDerivation { @@ -13,5 +13,5 @@ mkDerivation { buildInputs = [ breeze-icons karchive kcoreaddons kconfigwidgets ki18n kitemviews ]; - propagatedBuildInputs = [ qtbase qtsvg ]; + propagatedBuildInputs = [ qtbase qtsvg qttools ]; } diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/kio/default.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/kio/default.nix index 281778d9d07..6cf89669df0 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/kio/default.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/kio/default.nix @@ -1,6 +1,6 @@ { mkDerivation, lib, copyPathsToStore, - extra-cmake-modules, kdoctools, + extra-cmake-modules, kdoctools, qttools, karchive, kbookmarks, kcompletion, kconfig, kconfigwidgets, kcoreaddons, kdbusaddons, ki18n, kiconthemes, kitemviews, kjobwidgets, knotifications, kservice, ktextwidgets, kwallet, kwidgetsaddons, kwindowsystem, kxmlgui, @@ -18,7 +18,7 @@ mkDerivation { ]; propagatedBuildInputs = [ kbookmarks kcompletion kconfig kcoreaddons kitemviews kjobwidgets kservice - kxmlgui qtbase solid + kxmlgui qtbase qttools solid ]; patches = (copyPathsToStore (lib.readPathsFromFile ./. ./series)); } diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/knewstuff.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/knewstuff.nix index 70f3cbb3c37..df384648e6a 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/knewstuff.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/knewstuff.nix @@ -1,9 +1,9 @@ { - mkDerivation, lib, + mkDerivation, lib, fetchpatch, extra-cmake-modules, attica, karchive, kcompletion, kconfig, kcoreaddons, ki18n, kiconthemes, kio, kitemviews, kservice, ktextwidgets, kwidgetsaddons, kxmlgui, qtbase, - qtdeclarative, + qtdeclarative, kirigami2, }: mkDerivation { @@ -12,7 +12,13 @@ mkDerivation { nativeBuildInputs = [ extra-cmake-modules ]; buildInputs = [ karchive kcompletion kconfig kcoreaddons ki18n kiconthemes kio kitemviews - ktextwidgets kwidgetsaddons qtbase qtdeclarative + ktextwidgets kwidgetsaddons qtbase qtdeclarative kirigami2 ]; propagatedBuildInputs = [ attica kservice kxmlgui ]; + + patches = [ (fetchpatch { + url = "https://github.com/KDE/knewstuff/commit/dbf788c10130eaa3f5ea37a7f22eb4569471aa04.patch"; + sha256 = "1225rgqg1j120nvhgsahvsq2xlkg91lr37zp14x19krixxgx521j"; + revert = true; + }) ]; } diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/kplotting.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/kplotting.nix index 380fd8fc5e3..1774b044b16 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/kplotting.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/kplotting.nix @@ -1,5 +1,5 @@ { mkDerivation, lib -, extra-cmake-modules, qtbase +, extra-cmake-modules, qttools, qtbase }: mkDerivation { @@ -9,6 +9,6 @@ mkDerivation { broken = builtins.compareVersions qtbase.version "5.7.0" < 0; }; nativeBuildInputs = [ extra-cmake-modules ]; - propagatedBuildInputs = [ qtbase ]; + propagatedBuildInputs = [ qtbase qttools ]; outputs = [ "out" "dev" ]; } diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/ktextwidgets.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/ktextwidgets.nix index 8b082f7f365..604066b9639 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/ktextwidgets.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/ktextwidgets.nix @@ -1,6 +1,6 @@ { mkDerivation, lib, - extra-cmake-modules, + extra-cmake-modules, qttools, kcompletion, kconfig, kconfigwidgets, ki18n, kiconthemes, kservice, kwindowsystem, qtbase, sonnet, }: @@ -12,5 +12,5 @@ mkDerivation { buildInputs = [ kcompletion kconfig kconfigwidgets kiconthemes kservice kwindowsystem ]; - propagatedBuildInputs = [ ki18n qtbase sonnet ]; + propagatedBuildInputs = [ ki18n qtbase qttools sonnet ]; } diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/kwindowsystem/platform-plugins-path.patch b/nixpkgs/pkgs/development/libraries/kde-frameworks/kwindowsystem/platform-plugins-path.patch index ae0da37922e..7c86454c5cf 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/kwindowsystem/platform-plugins-path.patch +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/kwindowsystem/platform-plugins-path.patch @@ -1,13 +1,13 @@ -Index: kwindowsystem-5.32.0/src/pluginwrapper.cpp -=================================================================== ---- kwindowsystem-5.32.0.orig/src/pluginwrapper.cpp -+++ kwindowsystem-5.32.0/src/pluginwrapper.cpp -@@ -37,14 +37,9 @@ Q_GLOBAL_STATIC(KWindowSystemPluginWrapp +diff --git a/src/pluginwrapper.cpp b/src/pluginwrapper.cpp +index 8e6298a..210989a 100644 +--- a/src/pluginwrapper.cpp ++++ b/src/pluginwrapper.cpp +@@ -37,14 +37,10 @@ Q_GLOBAL_STATIC(KWindowSystemPluginWrapper, s_pluginWrapper) static QStringList pluginCandidates() { QStringList ret; - foreach (const QString &path, QCoreApplication::libraryPaths()) { -- QDir pluginDir(path + QLatin1Literal("/kf5/org.kde.kwindowsystem.platforms")); +- QDir pluginDir(path + QLatin1String("/kf5/org.kde.kwindowsystem.platforms")); - if (!pluginDir.exists()) { - continue; - } @@ -15,7 +15,8 @@ Index: kwindowsystem-5.32.0/src/pluginwrapper.cpp - ret << pluginDir.absoluteFilePath(entry); - } + QDir pluginDir(QLatin1String(NIXPKGS_QT_PLUGIN_PATH) + QLatin1Literal("/kf5/org.kde.kwindowsystem.platforms")); -+ foreach (const QString &entry, pluginDir.entryList(QDir::Files | QDir::NoDotAndDotDot)) { ++ const auto entries = pluginDir.entryList(QDir::Files | QDir::NoDotAndDotDot); ++ for (const QString &entry : entries) { + ret << pluginDir.absoluteFilePath(entry); } return ret; diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/kxmlgui.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/kxmlgui.nix index b9aede81f9a..5adcf281e62 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/kxmlgui.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/kxmlgui.nix @@ -1,6 +1,6 @@ { mkDerivation, lib, - extra-cmake-modules, + extra-cmake-modules, qttools, attica, kconfig, kconfigwidgets, kglobalaccel, ki18n, kiconthemes, kitemviews, ktextwidgets, kwindowsystem, qtbase, sonnet, }: @@ -13,5 +13,5 @@ mkDerivation { attica kglobalaccel ki18n kiconthemes kitemviews ktextwidgets kwindowsystem sonnet ]; - propagatedBuildInputs = [ kconfig kconfigwidgets qtbase ]; + propagatedBuildInputs = [ kconfig kconfigwidgets qtbase qttools ]; } diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/srcs.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/srcs.nix index 549f99a5588..6a7c7bc0c9c 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/srcs.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/srcs.nix @@ -3,635 +3,635 @@ { attica = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/attica-5.61.0.tar.xz"; - sha256 = "9d3ad34c17223333b5a77144cc5a9d941cbb7baa01ab4a2ffe34ae9398c90dde"; - name = "attica-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/attica-5.62.0.tar.xz"; + sha256 = "86b5388c93dd3375dbdca23b20d539af5ed9516f6a573e32549baac3200d029f"; + name = "attica-5.62.0.tar.xz"; }; }; baloo = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/baloo-5.61.0.tar.xz"; - sha256 = "dd559e06237843f51d68eb5001b835037d4b2f6d62b7dc4d040961f9863632f1"; - name = "baloo-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/baloo-5.62.0.tar.xz"; + sha256 = "454e6808a5fe523785e5e67b7c0453fd1b6c42035aaf8084c39ad30bcbbc8d1a"; + name = "baloo-5.62.0.tar.xz"; }; }; bluez-qt = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/bluez-qt-5.61.0.tar.xz"; - sha256 = "0ea647de61fcc18a85c660fa8e05fe93072a713a8d00a018ba8e99ea790e5d27"; - name = "bluez-qt-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/bluez-qt-5.62.0.tar.xz"; + sha256 = "545a6c76042a077f04b0a6c2b8dfbe3b5b1a582edaae4454d7a57c06ab033715"; + name = "bluez-qt-5.62.0.tar.xz"; }; }; breeze-icons = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/breeze-icons-5.61.0.tar.xz"; - sha256 = "1d260a01a2617f5f755d2eb38423af19bf4a1a2ccfa9339b441b4f6be6381c30"; - name = "breeze-icons-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/breeze-icons-5.62.0.tar.xz"; + sha256 = "5858100f1a87dc865f44cde159aaee025ec46f894f544c75086ea0e8f9555951"; + name = "breeze-icons-5.62.0.tar.xz"; }; }; extra-cmake-modules = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/extra-cmake-modules-5.61.0.tar.xz"; - sha256 = "a86a3b12c8a540af822131a8d65586d985267b1d642c29b4815b6c7870bc126c"; - name = "extra-cmake-modules-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/extra-cmake-modules-5.62.0.tar.xz"; + sha256 = "e07acfecef1b4c7a481a253b58b75072a4f887376301108ed2c753b5002adcd4"; + name = "extra-cmake-modules-5.62.0.tar.xz"; }; }; frameworkintegration = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/frameworkintegration-5.61.0.tar.xz"; - sha256 = "a1a2bbb15d287b67643750cb5414ceb10c6583861dd5c00118010d409f106efb"; - name = "frameworkintegration-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/frameworkintegration-5.62.0.tar.xz"; + sha256 = "0d43d6cd008359eac4840c8b6e12d2b17eeb53c95111af1f7e8ca6ae8e6aca2c"; + name = "frameworkintegration-5.62.0.tar.xz"; }; }; kactivities = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kactivities-5.61.0.tar.xz"; - sha256 = "0d7d7e5bd68541ad1dcf1f96c7205330cb7b075c6ff0d8b46774e781eff84af5"; - name = "kactivities-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kactivities-5.62.0.tar.xz"; + sha256 = "b466b8921adad6d887f93f760634dfa344ae52df83c58dd7ae75174961def85b"; + name = "kactivities-5.62.0.tar.xz"; }; }; kactivities-stats = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kactivities-stats-5.61.0.tar.xz"; - sha256 = "9062eb0f189f1b50674e65a7db9a4b821c628acd1ac650000cebbf1f7bdf0068"; - name = "kactivities-stats-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kactivities-stats-5.62.0.tar.xz"; + sha256 = "e6850a59d2e3dd566c77aa2b2fdc684737634b59755dcc7de231b8b496acbc1a"; + name = "kactivities-stats-5.62.0.tar.xz"; }; }; kapidox = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kapidox-5.61.0.tar.xz"; - sha256 = "3c948c87c7f7b16a3835f7df8387c110efe5fefecf8a7d6ffa1cae647be0669f"; - name = "kapidox-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kapidox-5.62.0.tar.xz"; + sha256 = "6aa3928b26acc23f5271ba0591d64a55c342e36ae16094e09be1ef038538952f"; + name = "kapidox-5.62.0.tar.xz"; }; }; karchive = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/karchive-5.61.0.tar.xz"; - sha256 = "457ed420449630625cb161fcc9bedc7c6a16527f48d6db4008aea76cdb948387"; - name = "karchive-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/karchive-5.62.0.tar.xz"; + sha256 = "99980ebdc16dd9ac062fcfda0974c0ce894c09a395caf914518646ffdc48e3ca"; + name = "karchive-5.62.0.tar.xz"; }; }; kauth = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kauth-5.61.0.tar.xz"; - sha256 = "b04458f32046b2dd61b48118646180df63d2c843cb2d53560aaa15168df087f1"; - name = "kauth-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kauth-5.62.0.tar.xz"; + sha256 = "31162621200df4b927719e34ce62004c51e79b9d785f9c3056c6902f80eeefe6"; + name = "kauth-5.62.0.tar.xz"; }; }; kbookmarks = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kbookmarks-5.61.0.tar.xz"; - sha256 = "24f87ff1acc5f0c257518f67af277b454566e607f82eb09e75b4a6ed02403377"; - name = "kbookmarks-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kbookmarks-5.62.0.tar.xz"; + sha256 = "69318784fa5feaee3e60bb159fb6c827475a8ce28a74bedf5939ad592c29ea4f"; + name = "kbookmarks-5.62.0.tar.xz"; }; }; kcmutils = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kcmutils-5.61.0.tar.xz"; - sha256 = "b8b79ef2f4513fbe5e4c61cf4726ed33b95efffabdd512fcc2dcff23c23cdfa7"; - name = "kcmutils-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kcmutils-5.62.0.tar.xz"; + sha256 = "93fd9b7b97cb4488f2007a4f518159129f0caafc05a004be56c87dd355870b1b"; + name = "kcmutils-5.62.0.tar.xz"; }; }; kcodecs = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kcodecs-5.61.0.tar.xz"; - sha256 = "4604323e44c1be7547f25b43b71bd541048c3d036a7fc5ca74e5ece9792ff5ee"; - name = "kcodecs-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kcodecs-5.62.0.tar.xz"; + sha256 = "1b015be0200444f1ce18ecc5c05dbafde62575a8e094e48698b4b64f43f307b1"; + name = "kcodecs-5.62.0.tar.xz"; }; }; kcompletion = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kcompletion-5.61.0.tar.xz"; - sha256 = "68697be65d6c9e0053fc3e504170d23c3162c05a0a9027249c575bc6dc8bd3ec"; - name = "kcompletion-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kcompletion-5.62.0.tar.xz"; + sha256 = "af774190ca1a0e4d335485548d6e5c1e02042a5d0e29a3c0db17c24e3656edec"; + name = "kcompletion-5.62.0.tar.xz"; }; }; kconfig = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kconfig-5.61.0.tar.xz"; - sha256 = "94c0e292a5d57e014aa745be6b59a989118ead1252d56c768f2719b5c6471372"; - name = "kconfig-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kconfig-5.62.0.tar.xz"; + sha256 = "fffe16924245e34d6267e67a6d425dc7b4fdab405968bffa4fff7bea5779bb51"; + name = "kconfig-5.62.0.tar.xz"; }; }; kconfigwidgets = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kconfigwidgets-5.61.0.tar.xz"; - sha256 = "4cc1e55c5f994abbec03b32bef73bdf54c2613199a446ad63f4ced6e3a0e2165"; - name = "kconfigwidgets-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kconfigwidgets-5.62.0.tar.xz"; + sha256 = "6c10810725e0b109c96ddc2246ca1741bcae012296e31caf7b41167a04ae31d6"; + name = "kconfigwidgets-5.62.0.tar.xz"; }; }; kcoreaddons = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kcoreaddons-5.61.0.tar.xz"; - sha256 = "6a4ea2eca77944c24fe63d2f7111913db721533d5971497cb5bdd2cac896e813"; - name = "kcoreaddons-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kcoreaddons-5.62.0.tar.xz"; + sha256 = "3819e2792a2e61444e337cd1a4cbdc362c18810918376eefc30b203fbd160b41"; + name = "kcoreaddons-5.62.0.tar.xz"; }; }; kcrash = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kcrash-5.61.0.tar.xz"; - sha256 = "83e6333ea0cd7d1ded3fa84f126e3c86a010d7bdb7fd183e7c5d42a8b8e74db8"; - name = "kcrash-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kcrash-5.62.0.tar.xz"; + sha256 = "9fac9396212148aade4b59665ec1725fa76e229f24c46b601f066e0026eddd2c"; + name = "kcrash-5.62.0.tar.xz"; }; }; kdbusaddons = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kdbusaddons-5.61.0.tar.xz"; - sha256 = "f24fadc71670591bb679cde68147e53819f6c3d56126ecbafe59688fc47b347d"; - name = "kdbusaddons-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kdbusaddons-5.62.0.tar.xz"; + sha256 = "d32e0b16abcb2b1593a567b0ef12cfb94ec2f08e5b8a3ec56efac19b22ca0152"; + name = "kdbusaddons-5.62.0.tar.xz"; }; }; kdeclarative = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kdeclarative-5.61.0.tar.xz"; - sha256 = "464a77f88cce72c1616654c371068c11d51e484e0de5c0c5e032126d71afedaa"; - name = "kdeclarative-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kdeclarative-5.62.0.tar.xz"; + sha256 = "804bc6dd1848fe38b9160a680f3d9f9b67d47150ee9683b3d2c5a07b96a12e46"; + name = "kdeclarative-5.62.0.tar.xz"; }; }; kded = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kded-5.61.0.tar.xz"; - sha256 = "ca970111cb2d0073305a226cc005e2085952c2a02703168a775f954d27d723bc"; - name = "kded-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kded-5.62.0.tar.xz"; + sha256 = "d2d7a979114ca770442cec0f89fe87730ff0c44b98ee64b39c2cada672fc03b1"; + name = "kded-5.62.0.tar.xz"; }; }; kdelibs4support = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/portingAids/kdelibs4support-5.61.0.tar.xz"; - sha256 = "ae6f7c10e1fe67ded687f38a8ab3c8d483ae06ae69344bd1e683af752cf40b5c"; - name = "kdelibs4support-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/portingAids/kdelibs4support-5.62.0.tar.xz"; + sha256 = "9cc10b4727b8ee3bae46af796e7da5d6ae620c543278814176a389ea178595ed"; + name = "kdelibs4support-5.62.0.tar.xz"; }; }; kdesignerplugin = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kdesignerplugin-5.61.0.tar.xz"; - sha256 = "6b204dffbb4897f51143650d75383b5a3ddf4254455e5827d316c7b4ee7b3f33"; - name = "kdesignerplugin-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/portingAids/kdesignerplugin-5.62.0.tar.xz"; + sha256 = "b5c0769d0b1df99f456c3c6f22a48e8bdf9c15f00be2e0795ae5bc5170596e47"; + name = "kdesignerplugin-5.62.0.tar.xz"; }; }; kdesu = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kdesu-5.61.0.tar.xz"; - sha256 = "398e74bdfe695ec2d7b57ce78f9fce3e19bb447a8eb5924441718a8f7384f888"; - name = "kdesu-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kdesu-5.62.0.tar.xz"; + sha256 = "9c22ad0a5c1d948a91846a34066155f64758b69ab005eb423bb02ba06301c80e"; + name = "kdesu-5.62.0.tar.xz"; }; }; kdewebkit = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/portingAids/kdewebkit-5.61.0.tar.xz"; - sha256 = "1ee2a00ee3d95df9270e8c3d434568cda8f42151e361bc07fe374bf0f7afe211"; - name = "kdewebkit-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/portingAids/kdewebkit-5.62.0.tar.xz"; + sha256 = "5e45a7866b28d69e6d28f821011c020e53cc6e5b59bcdb7a5d9cb7bda37175e4"; + name = "kdewebkit-5.62.0.tar.xz"; }; }; kdnssd = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kdnssd-5.61.0.tar.xz"; - sha256 = "02d70e5ee18697867c1a12373c1dbe31e1efba1fcb1e26bba3c75472cd3b271d"; - name = "kdnssd-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kdnssd-5.62.0.tar.xz"; + sha256 = "21554c6faf2f7136fb8f7a2908340c120ed0d5dc1475f5aeb8cafed1e4228009"; + name = "kdnssd-5.62.0.tar.xz"; }; }; kdoctools = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kdoctools-5.61.0.tar.xz"; - sha256 = "e48d8f8f075171c6b83189999a10552c772c6a7e9a115a2643414f9ecec77c6f"; - name = "kdoctools-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kdoctools-5.62.0.tar.xz"; + sha256 = "471ce5106f80af7272d2ea54265bde5a833c8de7716e8bd82f7a5742939c3f48"; + name = "kdoctools-5.62.0.tar.xz"; }; }; kemoticons = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kemoticons-5.61.0.tar.xz"; - sha256 = "cfc17de43320fbb353be30ae8d5b448b88da6f83bd23e29d678cd95a4bd7a380"; - name = "kemoticons-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kemoticons-5.62.0.tar.xz"; + sha256 = "fab145b2c4106be8a4f0024cb436d02d0fdcbf8666e9a790cc1cd98db1e70313"; + name = "kemoticons-5.62.0.tar.xz"; }; }; kfilemetadata = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kfilemetadata-5.61.0.tar.xz"; - sha256 = "15f20af053c71c1e5ba6c6ade90b7cce27645b27ee30f1e6e73038e81a2c958e"; - name = "kfilemetadata-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kfilemetadata-5.62.0.tar.xz"; + sha256 = "2d8488500b19a7d8f90712775e0353e16957857a89162d6c7b947dd5536245b0"; + name = "kfilemetadata-5.62.0.tar.xz"; }; }; kglobalaccel = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kglobalaccel-5.61.0.tar.xz"; - sha256 = "ad6bd2648e39854369555dd8a0823b08d9631f3638472627eb80e01d9902150e"; - name = "kglobalaccel-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kglobalaccel-5.62.0.tar.xz"; + sha256 = "b087ec1a23a50787d27e8c5d618d4097375a2f7b6188bc9077a5e60d11e2c04d"; + name = "kglobalaccel-5.62.0.tar.xz"; }; }; kguiaddons = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kguiaddons-5.61.0.tar.xz"; - sha256 = "40cefa421b5ad5cf211875a35408ba526a5fb34e5ba19ebbda718dbf6b742520"; - name = "kguiaddons-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kguiaddons-5.62.0.tar.xz"; + sha256 = "5fc61818ed054901a8b1a6a56a83ccaf5f38d9ea7c5761fa6279cd7316d81e44"; + name = "kguiaddons-5.62.0.tar.xz"; }; }; kholidays = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kholidays-5.61.0.tar.xz"; - sha256 = "ce3d879824a3e429b468008c1ccec5de44c07299d412ea32f9a2a814c27c08c1"; - name = "kholidays-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kholidays-5.62.0.tar.xz"; + sha256 = "f9f7cc399b35cef9348b8fbaabb87145b689165a66b874e3250456f6bbdcb329"; + name = "kholidays-5.62.0.tar.xz"; }; }; khtml = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/portingAids/khtml-5.61.0.tar.xz"; - sha256 = "5d8612b584eecf96959d56bb75b1470b3b34ff7176cef7a0a15bc2531b21720b"; - name = "khtml-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/portingAids/khtml-5.62.0.tar.xz"; + sha256 = "35e3f7e419041f0892ea42c6506b627661137602c25f0f1d6a81537b583682c1"; + name = "khtml-5.62.0.tar.xz"; }; }; ki18n = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/ki18n-5.61.0.tar.xz"; - sha256 = "d8c0594268b386ee42823360aa937c664cf04eedac8232bc18a653a9c52491d9"; - name = "ki18n-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/ki18n-5.62.0.tar.xz"; + sha256 = "b11a0c94c7149798f3f6592e2c386a682d9c528d1e10a59ed3934a93acbc79cb"; + name = "ki18n-5.62.0.tar.xz"; }; }; kiconthemes = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kiconthemes-5.61.0.tar.xz"; - sha256 = "341741abd0b8aeeec8a2a87fe781b4ec1ab593563b1c063cdfdccead3706cdd7"; - name = "kiconthemes-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kiconthemes-5.62.0.tar.xz"; + sha256 = "33fb5caf28ee763edeb3def66386a27f6a7b2bac6a6a0f0728dd4b222d11ebfe"; + name = "kiconthemes-5.62.0.tar.xz"; }; }; kidletime = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kidletime-5.61.0.tar.xz"; - sha256 = "8fb302dcc5b891ac2f06b5278bd6e08043772f3325bc209175c945280621fca2"; - name = "kidletime-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kidletime-5.62.0.tar.xz"; + sha256 = "dd2b6a9f7815c8e84b635e694cbf9ee207996d2cf3adb5a85eadd4a8de37f276"; + name = "kidletime-5.62.0.tar.xz"; }; }; kimageformats = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kimageformats-5.61.0.tar.xz"; - sha256 = "5a81359a043e201b29e205dd93559de077e0317d26712cb1c07e624d76aeb207"; - name = "kimageformats-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kimageformats-5.62.0.tar.xz"; + sha256 = "65c179e15dd9c81a4515eb9189951641cca4aad9e7456067a208658ce205c2c2"; + name = "kimageformats-5.62.0.tar.xz"; }; }; kinit = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kinit-5.61.0.tar.xz"; - sha256 = "1806bba9cc3f4d9c5ed23f49eca30707e8f74a99d35f5022130a46a395f2858f"; - name = "kinit-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kinit-5.62.0.tar.xz"; + sha256 = "5c4b066362ab6528b5c9ac654c20cc4eeed87e5384b26b3aa1df968c98c1e21a"; + name = "kinit-5.62.0.tar.xz"; }; }; kio = { - version = "5.61.0"; + version = "5.62.1"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kio-5.61.0.tar.xz"; - sha256 = "1fa35126f8167bdbe029e515d01c8d4b91a07556ce6d5c9418e0ea10d7c2e44e"; - name = "kio-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kio-5.62.1.tar.xz"; + sha256 = "4b149085bcfbcd729d808a34bcbd4b11f5f9526aa919c82eaddabc1e88113df0"; + name = "kio-5.62.1.tar.xz"; }; }; kirigami2 = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kirigami2-5.61.0.tar.xz"; - sha256 = "afdbe922f0627330319f22834d6631af13edb0081c687422d36acb8697a88c30"; - name = "kirigami2-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kirigami2-5.62.0.tar.xz"; + sha256 = "b3cc36bddb5e52617075961b2cbaecbb94492523bcc6801a3ad29a35c43bd912"; + name = "kirigami2-5.62.0.tar.xz"; }; }; kitemmodels = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kitemmodels-5.61.0.tar.xz"; - sha256 = "47db271ba24904933629ed00f7a4f916a19969967dcfbfd59ae5e98f08f89d68"; - name = "kitemmodels-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kitemmodels-5.62.0.tar.xz"; + sha256 = "4ed6c4081cf6493d6f40ab45deb61325346ab8577eadec7ba8af6a36d7a6485e"; + name = "kitemmodels-5.62.0.tar.xz"; }; }; kitemviews = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kitemviews-5.61.0.tar.xz"; - sha256 = "0447b361444a853409f65e2fb5650cc95eb799ca54a5d7e15cd6d8ca527002da"; - name = "kitemviews-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kitemviews-5.62.0.tar.xz"; + sha256 = "34881a269bdae7e3643ab73290931859437fde72042a066170e7467422408065"; + name = "kitemviews-5.62.0.tar.xz"; }; }; kjobwidgets = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kjobwidgets-5.61.0.tar.xz"; - sha256 = "5246c2a230e3b4e9d7ba87c5a6b13b5f96fef6af0d1262f27f91fa0c619cf378"; - name = "kjobwidgets-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kjobwidgets-5.62.0.tar.xz"; + sha256 = "e3607167361fdd6874a165881de523505bd00d8fabb755abf62114c017a39c93"; + name = "kjobwidgets-5.62.0.tar.xz"; }; }; kjs = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/portingAids/kjs-5.61.0.tar.xz"; - sha256 = "968e1592c98ee260d80644bf4631bf09479512e48fa878887ee3b9d6d57d3d17"; - name = "kjs-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/portingAids/kjs-5.62.0.tar.xz"; + sha256 = "aaff97d97e3163f890001b7d2e4c0329fdd9d9c53ce4924233246f3ef6cd5962"; + name = "kjs-5.62.0.tar.xz"; }; }; kjsembed = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/portingAids/kjsembed-5.61.0.tar.xz"; - sha256 = "d8e0afad638574c31c89d716d78456ce51ffe6dd03eae6787bc9b4f8b52d5b44"; - name = "kjsembed-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/portingAids/kjsembed-5.62.0.tar.xz"; + sha256 = "3763c5f67fa92803b5003a41c5b696524be3d0528018a3d5643abc25b161c23b"; + name = "kjsembed-5.62.0.tar.xz"; }; }; kmediaplayer = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/portingAids/kmediaplayer-5.61.0.tar.xz"; - sha256 = "ae15a4a39e6530b505d699fb1b1ab3fd5f0e64d87dd758db17702463e44ce181"; - name = "kmediaplayer-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/portingAids/kmediaplayer-5.62.0.tar.xz"; + sha256 = "2d7fa77c085ab0a48e3ce41ec5d6ffa16fbf7194f2d6ace43e37967c0ffb7880"; + name = "kmediaplayer-5.62.0.tar.xz"; }; }; knewstuff = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/knewstuff-5.61.0.tar.xz"; - sha256 = "87f8ec030223f5f0e4e39de8407fc0d28542e48e057c1752adb2466c55fe365b"; - name = "knewstuff-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/knewstuff-5.62.0.tar.xz"; + sha256 = "5ec7806bf1c5d24a0f393fc48950afefc4bbd1b04b2ad1db59f5f05ecd8f0195"; + name = "knewstuff-5.62.0.tar.xz"; }; }; knotifications = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/knotifications-5.61.0.tar.xz"; - sha256 = "f72ce6394465316a5324e38afb07f4f71d5f8e281d09b5cf340246c9905568ac"; - name = "knotifications-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/knotifications-5.62.0.tar.xz"; + sha256 = "55ec35bc9ddccd12289d9501b11d453885eabb9caebd4b93199d7c662a147263"; + name = "knotifications-5.62.0.tar.xz"; }; }; knotifyconfig = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/knotifyconfig-5.61.0.tar.xz"; - sha256 = "bbd2260a98f70779415369ca1d99807bc3e57f618024b9663d2a462a74169bee"; - name = "knotifyconfig-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/knotifyconfig-5.62.0.tar.xz"; + sha256 = "bb51d1a3f69f9faf274ee381d6d267bf4a69edbbdfcfd9efcab76270db4f8e4d"; + name = "knotifyconfig-5.62.0.tar.xz"; }; }; kpackage = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kpackage-5.61.0.tar.xz"; - sha256 = "8ff82d14fe0dd92ac774d5cd9cd6334b01574f0f5c584266f97359dde5db9a5f"; - name = "kpackage-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kpackage-5.62.0.tar.xz"; + sha256 = "588e6f7b0c066993dbd9b6a0fd2535cd2f1c58ea5bd7ebe1dc381049776bbf62"; + name = "kpackage-5.62.0.tar.xz"; }; }; kparts = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kparts-5.61.0.tar.xz"; - sha256 = "f223b38f34f009bb25511ce7d97c607102cbb0a1bd0253ec1b7d1fe1b7c81436"; - name = "kparts-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kparts-5.62.0.tar.xz"; + sha256 = "2249e70de0b57f13d8ee7a2840106b5a4aed05a6b73da9245101e0cbc9c846ef"; + name = "kparts-5.62.0.tar.xz"; }; }; kpeople = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kpeople-5.61.0.tar.xz"; - sha256 = "549edacd7b63d704dd165bc803ae03f8d9e8c1ba31f8dbaea3f7e12c466b4298"; - name = "kpeople-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kpeople-5.62.0.tar.xz"; + sha256 = "e061991f08e6642e61531a630a81927ea0ccd7402f469806a6cfeecf9b5064a2"; + name = "kpeople-5.62.0.tar.xz"; }; }; kplotting = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kplotting-5.61.0.tar.xz"; - sha256 = "95781b50bef0e081e48b472b4fcbbcd3301ec45245498261e4a3ec8e42b892ba"; - name = "kplotting-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kplotting-5.62.0.tar.xz"; + sha256 = "7472943518a4b0e2fe1877ce47b9f667e178822926985a0efc9c20361097b94e"; + name = "kplotting-5.62.0.tar.xz"; }; }; kpty = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kpty-5.61.0.tar.xz"; - sha256 = "b91a88c00d3387927d1f6886a04e6e5bcc615ee1d0e72f647d51320ebf73471c"; - name = "kpty-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kpty-5.62.0.tar.xz"; + sha256 = "6efd3a3103f15ee825b220ac309bcce3bbce56e9b915e61a4277a2cb096bcb96"; + name = "kpty-5.62.0.tar.xz"; }; }; kross = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/portingAids/kross-5.61.0.tar.xz"; - sha256 = "103837799febbd62365a6445db046a2ee4add13d7d250abf925872cac642986e"; - name = "kross-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/portingAids/kross-5.62.0.tar.xz"; + sha256 = "38bf9a57f181d823974a00896d89ae7106488c2ccbd7179e295d297edb338563"; + name = "kross-5.62.0.tar.xz"; }; }; krunner = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/krunner-5.61.0.tar.xz"; - sha256 = "f32ea603a9bcb9c2e39231f99bfc6079d118eebbf2c72e0818e2a9cd060543be"; - name = "krunner-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/krunner-5.62.0.tar.xz"; + sha256 = "21b9564d07395f0e1c5c09557ffc64eb1929dd8925914fdb581f5daa537b01fc"; + name = "krunner-5.62.0.tar.xz"; }; }; kservice = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kservice-5.61.0.tar.xz"; - sha256 = "4489ac4553522bb76604e284338ab37a7a2369eea45dadd96a955fedf8ca99f9"; - name = "kservice-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kservice-5.62.0.tar.xz"; + sha256 = "a2e105ae8202fa0d9f443490c56e25083c0b9ee285aa82fa26bb8a14f9999db8"; + name = "kservice-5.62.0.tar.xz"; }; }; ktexteditor = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/ktexteditor-5.61.0.tar.xz"; - sha256 = "ae99eacb445f8bc27af379d1ec54e8df4d25f601fc12053bc2928a8c639ad0cb"; - name = "ktexteditor-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/ktexteditor-5.62.0.tar.xz"; + sha256 = "e02d54035367071d44a1499a7f6c482491116c5676fa8ceb57b1e9f564975092"; + name = "ktexteditor-5.62.0.tar.xz"; }; }; ktextwidgets = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/ktextwidgets-5.61.0.tar.xz"; - sha256 = "a2fddad3dda750ea6bdb104c460e50586946ded3e1f46a8729dbd304016a0b5a"; - name = "ktextwidgets-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/ktextwidgets-5.62.0.tar.xz"; + sha256 = "ec34931658cbe3a7ad7419a6e588cd9f9981e9b5ab2400e8b6f2b79b29f83774"; + name = "ktextwidgets-5.62.0.tar.xz"; }; }; kunitconversion = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kunitconversion-5.61.0.tar.xz"; - sha256 = "e5ffa3ff954c46b2416823467fcecd37c6ddb8304529703bc9cc3a24b74b6c24"; - name = "kunitconversion-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kunitconversion-5.62.0.tar.xz"; + sha256 = "e96ce3efcb6efe3afc0d5cf093971ea89fe2f20660da16349309cf6748446f41"; + name = "kunitconversion-5.62.0.tar.xz"; }; }; kwallet = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kwallet-5.61.0.tar.xz"; - sha256 = "628ded35a8f44750a770bf10bba9a763994660923a689eee05f8dfb7e92baec8"; - name = "kwallet-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kwallet-5.62.0.tar.xz"; + sha256 = "911d1f3320e7e3d25243e134ba0e42cd5e3ed2ee6c846dbb13777b1a4b338a5b"; + name = "kwallet-5.62.0.tar.xz"; }; }; kwayland = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kwayland-5.61.0.tar.xz"; - sha256 = "42d3bc629710e09074006af288986b00683853660648c9364fb09d49db3f0e07"; - name = "kwayland-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kwayland-5.62.0.tar.xz"; + sha256 = "c9f513008c91e70b09f5acb76dde332491afde0e94948066c2f1e621bc368eb6"; + name = "kwayland-5.62.0.tar.xz"; }; }; kwidgetsaddons = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kwidgetsaddons-5.61.0.tar.xz"; - sha256 = "5abc169f431fba18418f23ff1749414d8318baff868a7b821916cc44508c6891"; - name = "kwidgetsaddons-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kwidgetsaddons-5.62.0.tar.xz"; + sha256 = "3a8e75d544783a1f567016f2669c3cfdbf2809b0a3d25afd03d38af62a493671"; + name = "kwidgetsaddons-5.62.0.tar.xz"; }; }; kwindowsystem = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kwindowsystem-5.61.0.tar.xz"; - sha256 = "17958b612e751e838aa7a0d4f8c7a8a8d83d3f4ace5498fe1f2b8650a2d8f984"; - name = "kwindowsystem-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kwindowsystem-5.62.0.tar.xz"; + sha256 = "116d75216ea001b2fc8688a72bcc6105b0b0966a2c5a084497f3aef80d158a67"; + name = "kwindowsystem-5.62.0.tar.xz"; }; }; kxmlgui = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kxmlgui-5.61.0.tar.xz"; - sha256 = "867ff1c3ad464bb6268d00ca290569ef1da7659d3fd2f6349015bc3e2562836b"; - name = "kxmlgui-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kxmlgui-5.62.0.tar.xz"; + sha256 = "bc4321b4d44b0af1c2808814b89231a8c9e86de22ca1c0b080a769819ebc5d50"; + name = "kxmlgui-5.62.0.tar.xz"; }; }; kxmlrpcclient = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kxmlrpcclient-5.61.0.tar.xz"; - sha256 = "382b4730e4b32c1d300f8fdb6269e40995ec282ebe1cbb044ab1a2b2b68c3a1a"; - name = "kxmlrpcclient-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kxmlrpcclient-5.62.0.tar.xz"; + sha256 = "c284c3e5962d2ed6d0737e5814b85fa4d7926131b7799272cb56c464a12c4530"; + name = "kxmlrpcclient-5.62.0.tar.xz"; }; }; modemmanager-qt = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/modemmanager-qt-5.61.0.tar.xz"; - sha256 = "c9883a3aac7415045a03f0bda435a2a5ff7523538868b72dffa8e4b40e88502a"; - name = "modemmanager-qt-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/modemmanager-qt-5.62.0.tar.xz"; + sha256 = "b2a6517377b53aca895efd657d553dc5b057a673c07ccb10786031240b11adf5"; + name = "modemmanager-qt-5.62.0.tar.xz"; }; }; networkmanager-qt = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/networkmanager-qt-5.61.0.tar.xz"; - sha256 = "1ded63af93957a04292e965ecce06388f183d3adc555b4f3d33337ee15d858c3"; - name = "networkmanager-qt-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/networkmanager-qt-5.62.0.tar.xz"; + sha256 = "08f73ced96866b9dfded574a87c9e887dc907fc510d2764a4aa09315511cedf9"; + name = "networkmanager-qt-5.62.0.tar.xz"; }; }; oxygen-icons5 = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/oxygen-icons5-5.61.0.tar.xz"; - sha256 = "1ca8f6e42186d069cb4f0581914b147cabc3be3e720c382e77048be134bb1b26"; - name = "oxygen-icons5-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/oxygen-icons5-5.62.0.tar.xz"; + sha256 = "c066bc96fd45f3553e3c344c7cef34afda3180c95bf67af6cf20e964fd5c1a00"; + name = "oxygen-icons5-5.62.0.tar.xz"; }; }; plasma-framework = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/plasma-framework-5.61.0.tar.xz"; - sha256 = "873d604aadbe21ba38cdb12b778d3baf121a54e6155596f0ebee1840138060fe"; - name = "plasma-framework-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/plasma-framework-5.62.0.tar.xz"; + sha256 = "324bf14078459954c355bb6f146b927f6cbf915109365cdc58c1d81c8495bdb4"; + name = "plasma-framework-5.62.0.tar.xz"; }; }; prison = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/prison-5.61.0.tar.xz"; - sha256 = "9ebab1755e9d7cb01b2aa6e8b63640eb112d8557073423abdb94faecb42d87ab"; - name = "prison-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/prison-5.62.0.tar.xz"; + sha256 = "d7a024881119e2e91fe2ef98ec982f33e87d3f5584c3e4438638e23cf0106fb0"; + name = "prison-5.62.0.tar.xz"; }; }; purpose = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/purpose-5.61.0.tar.xz"; - sha256 = "810a660d0a4d6de41e1b4d00fcb039d3b099ceae65ec96261ca8dd1fba458d08"; - name = "purpose-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/purpose-5.62.0.tar.xz"; + sha256 = "ed0bdc72b1b95fe988fb2ceba5cc1bd36b5bf00d30c098e9de50fdc36d3b3492"; + name = "purpose-5.62.0.tar.xz"; }; }; qqc2-desktop-style = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/qqc2-desktop-style-5.61.0.tar.xz"; - sha256 = "26042c4f939b94caa559cba3ef171ef7bb1490f57c9907f5e4b30a701659abb4"; - name = "qqc2-desktop-style-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/qqc2-desktop-style-5.62.0.tar.xz"; + sha256 = "97234e956a7fc09bef0665e9a759d6f370419bd3cd2bbbd700849e4cbe549bfa"; + name = "qqc2-desktop-style-5.62.0.tar.xz"; }; }; solid = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/solid-5.61.0.tar.xz"; - sha256 = "c3a032086eacbb836fc102bd77236285ad5a808c0537ff55dbacda539ba3eacf"; - name = "solid-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/solid-5.62.0.tar.xz"; + sha256 = "24a01a7e89b2c1e39cb9ebc477f80f5ab966d35fce00f63682b159a15de64cc3"; + name = "solid-5.62.0.tar.xz"; }; }; sonnet = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/sonnet-5.61.0.tar.xz"; - sha256 = "4c8818897ea5dac25e0120acfd4e15c44adf2ee76749870b8f70178f1a3d8b29"; - name = "sonnet-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/sonnet-5.62.0.tar.xz"; + sha256 = "a1a2d3500d7fc51d94fd6f9d951c83be86436284aeda8416963fc5213956a69a"; + name = "sonnet-5.62.0.tar.xz"; }; }; syndication = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/syndication-5.61.0.tar.xz"; - sha256 = "2803b2960dd23492ad002e0f23563c9f06500ddc144dd0be2e3e0ef2f6c1f576"; - name = "syndication-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/syndication-5.62.0.tar.xz"; + sha256 = "d315a5a5e691925df44ce30abbd5208b764a72eb42d38dc5b5ca134d71c05462"; + name = "syndication-5.62.0.tar.xz"; }; }; syntax-highlighting = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/syntax-highlighting-5.61.0.tar.xz"; - sha256 = "475392c03534d7b5301ff2e02461444e463ad4def985da81ad4b315660416721"; - name = "syntax-highlighting-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/syntax-highlighting-5.62.0.tar.xz"; + sha256 = "897fac9ec2e5112d629da464d47223129e547c314369e1518a12c5c94ff2a6fd"; + name = "syntax-highlighting-5.62.0.tar.xz"; }; }; threadweaver = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/threadweaver-5.61.0.tar.xz"; - sha256 = "e7a0cecfaa60c7a8e4bdd4dfe842fb54a344d331a6c62316c147d8dc2a5e5843"; - name = "threadweaver-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/threadweaver-5.62.0.tar.xz"; + sha256 = "aa1704c20c6d38fde4f9988e13cb97356e1c69c7a9d0401870b1515a2814294a"; + name = "threadweaver-5.62.0.tar.xz"; }; }; } diff --git a/nixpkgs/pkgs/development/libraries/libabw/default.nix b/nixpkgs/pkgs/development/libraries/libabw/default.nix index f953c170ab5..a37d4756cbd 100644 --- a/nixpkgs/pkgs/development/libraries/libabw/default.nix +++ b/nixpkgs/pkgs/development/libraries/libabw/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { pname = "libabw"; - version = "0.1.2"; + version = "0.1.3"; src = fetchurl { url = "https://dev-www.libreoffice.org/src/libabw/${pname}-${version}.tar.xz"; - sha256 = "11949iscdb99f2jplxjd39282jxcrf2fw0sqbh5dl7gqb96r8whb"; + sha256 = "1vbfrmnvib3cym0yyyabnd8xpx4f7wp20vnn09s6dln347fajqz7"; }; # Boost 1.59 compatability fix diff --git a/nixpkgs/pkgs/development/libraries/libbsd/darwin.patch b/nixpkgs/pkgs/development/libraries/libbsd/darwin.patch index 0f9cc9aaf96..340eb47a630 100644 --- a/nixpkgs/pkgs/development/libraries/libbsd/darwin.patch +++ b/nixpkgs/pkgs/development/libraries/libbsd/darwin.patch @@ -1,30 +1,26 @@ diff --git a/configure.ac b/configure.ac -index 55fcfe6..1e26c93 100644 +index 6e9496d..60b6e4c 100644 --- a/configure.ac +++ b/configure.ac -@@ -51,15 +51,19 @@ AS_CASE([$host_os], - AC_SEARCH_LIBS([clock_gettime], [rt], [CLOCK_GETTIME_LIBS="-lrt"]) - AC_SUBST([CLOCK_GETTIME_LIBS]) - LIBS="$saved_LIBS" -+ AM_CONDITIONAL([BUILD_LINK_VERSION_SCRIPT], [1]) - ], - [*-musl*], [ - # Upstream refuses to define this, we will do it ourselves then. - AC_DEFINE([__MUSL__], [1], [Define to 1 if we are building for musl]) -+ AM_CONDITIONAL([BUILD_LINK_VERSION_SCRIPT], [1]) - ], --) -+ [ -+ AM_CONDITIONAL([BUILD_LINK_VERSION_SCRIPT], [1]) -+ ]) +@@ -44,6 +44,8 @@ AC_SUBST([TESTU01_LIBS]) + AM_CONDITIONAL([HAVE_LIBTESTU01], + [test "x$ac_cv_lib_testu01_unif01_CreateExternGenBits" = "xyes"]) + ++AX_CHECK_VSCRIPT ++ + is_windows=no + AS_CASE([$host_os], + [*-gnu*], [ +@@ -68,7 +70,7 @@ AS_CASE([$host_os], + AM_CONDITIONAL([OS_WINDOWS], [test "x$is_windows" = "xyes"]) # Checks for header files. --AC_CHECK_HEADERS([sys/ndir.h sys/dir.h ndir.h dirent.h]) -+AC_CHECK_HEADERS([sys/ndir.h sys/dir.h ndir.h dirent.h nlist.h]) +-AC_CHECK_HEADERS([sys/ndir.h sys/dir.h ndir.h dirent.h grp.h]) ++AC_CHECK_HEADERS([sys/ndir.h sys/dir.h ndir.h dirent.h grp.h nlist.h]) # Checks for typedefs, structures, and compiler characteristics. AC_C_INLINE -@@ -143,7 +147,8 @@ AC_LINK_IFELSE( +@@ -169,7 +171,8 @@ AC_LINK_IFELSE( AC_CHECK_FUNCS([clearenv dirfd fopencookie __fpurge \ getauxval getentropy getexecname getline \ @@ -60,19 +56,168 @@ index f987fee..a1e17ed 100644 #if !defined(__GLIBC__) || \ (defined(__GLIBC__) && (!__GLIBC_PREREQ(2, 25) || !defined(_GNU_SOURCE))) +diff --git a/m4/ax_check_vscript.m4 b/m4/ax_check_vscript.m4 +new file mode 100644 +index 0000000..9851f32 +--- /dev/null ++++ b/m4/ax_check_vscript.m4 +@@ -0,0 +1,142 @@ ++# =========================================================================== ++# https://www.gnu.org/software/autoconf-archive/ax_check_vscript.html ++# =========================================================================== ++# ++# SYNOPSIS ++# ++# AX_CHECK_VSCRIPT ++# ++# DESCRIPTION ++# ++# Check whether the linker supports version scripts. Version scripts are ++# used when building shared libraries to bind symbols to version nodes ++# (helping to detect incompatibilities) or to limit the visibility of ++# non-public symbols. ++# ++# Output: ++# ++# If version scripts are supported, VSCRIPT_LDFLAGS will contain the ++# appropriate flag to pass to the linker. On GNU systems this would ++# typically be "-Wl,--version-script", and on Solaris it would typically ++# be "-Wl,-M". ++# ++# Two Automake conditionals are also set: ++# ++# HAVE_VSCRIPT is true if the linker supports version scripts with ++# entries that use simple wildcards, like "local: *". ++# ++# HAVE_VSCRIPT_COMPLEX is true if the linker supports version scripts with ++# pattern matching wildcards, like "global: Java_*". ++# ++# On systems that do not support symbol versioning, such as Mac OS X, both ++# conditionals will be false. They will also be false if the user passes ++# "--disable-symvers" on the configure command line. ++# ++# Example: ++# ++# configure.ac: ++# ++# AX_CHECK_VSCRIPT ++# ++# Makefile.am: ++# ++# if HAVE_VSCRIPT ++# libfoo_la_LDFLAGS += $(VSCRIPT_LDFLAGS),@srcdir@/libfoo.map ++# endif ++# ++# if HAVE_VSCRIPT_COMPLEX ++# libbar_la_LDFLAGS += $(VSCRIPT_LDFLAGS),@srcdir@/libbar.map ++# endif ++# ++# LICENSE ++# ++# Copyright (c) 2014 Kevin Cernekee <cernekee@gmail.com> ++# ++# Copying and distribution of this file, with or without modification, are ++# permitted in any medium without royalty provided the copyright notice ++# and this notice are preserved. This file is offered as-is, without any ++# warranty. ++ ++#serial 2 ++ ++# _AX_CHECK_VSCRIPT(flag, global-sym, action-if-link-succeeds, [junk-file=no]) ++AC_DEFUN([_AX_CHECK_VSCRIPT], [ ++ AC_LANG_PUSH([C]) ++ ax_check_vscript_save_flags="$LDFLAGS" ++ echo "V1 { global: $2; local: *; };" > conftest.map ++ AS_IF([test x$4 = xyes], [ ++ echo "{" >> conftest.map ++ ]) ++ LDFLAGS="$LDFLAGS -Wl,$1,conftest.map" ++ AC_LINK_IFELSE([AC_LANG_PROGRAM([[int show, hide;]], [])], [$3]) ++ LDFLAGS="$ax_check_vscript_save_flags" ++ rm -f conftest.map ++ AC_LANG_POP([C]) ++]) dnl _AX_CHECK_VSCRIPT ++ ++AC_DEFUN([AX_CHECK_VSCRIPT], [ ++ ++ AC_ARG_ENABLE([symvers], ++ AS_HELP_STRING([--disable-symvers], ++ [disable library symbol versioning [default=auto]]), ++ [want_symvers=$enableval], ++ [want_symvers=yes] ++ ) ++ ++ AS_IF([test x$want_symvers = xyes], [ ++ ++ dnl First test --version-script and -M with a simple wildcard. ++ ++ AC_CACHE_CHECK([linker version script flag], ax_cv_check_vscript_flag, [ ++ ax_cv_check_vscript_flag=unsupported ++ _AX_CHECK_VSCRIPT([--version-script], [show], [ ++ ax_cv_check_vscript_flag=--version-script ++ ]) ++ AS_IF([test x$ax_cv_check_vscript_flag = xunsupported], [ ++ _AX_CHECK_VSCRIPT([-M], [show], [ax_cv_check_vscript_flag=-M]) ++ ]) ++ ++ dnl The linker may interpret -M (no argument) as "produce a load map." ++ dnl If "-M conftest.map" doesn't fail when conftest.map contains ++ dnl obvious syntax errors, assume this is the case. ++ ++ AS_IF([test x$ax_cv_check_vscript_flag != xunsupported], [ ++ _AX_CHECK_VSCRIPT([$ax_cv_check_vscript_flag], [show], ++ [ax_cv_check_vscript_flag=unsupported], [yes]) ++ ]) ++ ]) ++ ++ dnl If the simple wildcard worked, retest with a complex wildcard. ++ ++ AS_IF([test x$ax_cv_check_vscript_flag != xunsupported], [ ++ ax_check_vscript_flag=$ax_cv_check_vscript_flag ++ AC_CACHE_CHECK([if version scripts can use complex wildcards], ++ ax_cv_check_vscript_complex_wildcards, [ ++ ax_cv_check_vscript_complex_wildcards=no ++ _AX_CHECK_VSCRIPT([$ax_cv_check_vscript_flag], [sh*], [ ++ ax_cv_check_vscript_complex_wildcards=yes]) ++ ]) ++ ax_check_vscript_complex_wildcards="$ax_cv_check_vscript_complex_wildcards" ++ ], [ ++ ax_check_vscript_flag= ++ ax_check_vscript_complex_wildcards=no ++ ]) ++ ], [ ++ AC_MSG_CHECKING([linker version script flag]) ++ AC_MSG_RESULT([disabled]) ++ ++ ax_check_vscript_flag= ++ ax_check_vscript_complex_wildcards=no ++ ]) ++ ++ AS_IF([test x$ax_check_vscript_flag != x], [ ++ VSCRIPT_LDFLAGS="-Wl,$ax_check_vscript_flag" ++ AC_SUBST([VSCRIPT_LDFLAGS]) ++ ]) ++ ++ AM_CONDITIONAL([HAVE_VSCRIPT], ++ [test x$ax_check_vscript_flag != x]) ++ AM_CONDITIONAL([HAVE_VSCRIPT_COMPLEX], ++ [test x$ax_check_vscript_complex_wildcards = xyes]) ++ ++]) dnl AX_CHECK_VSCRIPT diff --git a/src/Makefile.am b/src/Makefile.am -index f3cc0fa..3aaecd4 100644 +index 8384b92..e2c8e15 100644 --- a/src/Makefile.am +++ b/src/Makefile.am -@@ -56,8 +56,10 @@ libbsd_la_DEPENDENCIES = \ - libbsd_la_LIBADD = \ - $(CLOCK_GETTIME_LIBS) +@@ -65,8 +65,11 @@ libbsd_la_LIBADD = \ + $(ARC4RANDOM_ATFORK_LIBS) \ + $(nil) libbsd_la_LDFLAGS = \ - -Wl,--version-script=$(srcdir)/libbsd.map \ -version-number $(LIBBSD_ABI) -+if BUILD_LINK_VERSION_SCRIPT -+libbsd_la_LDFLAGS += -Wl,--version-script=$(srcdir)/libbsd.map ++if HAVE_VSCRIPT ++libbsd_la_LDFLAGS += $(VSCRIPT_LDFLAGS),@srcdir@/libbsd.map +endif ++ libbsd_la_SOURCES = \ arc4random.c \ arc4random.h \ @@ -175,23 +320,28 @@ index b3c8d5e..602f54e 100644 #include <string.h> diff --git a/src/local-link.h b/src/local-link.h -index d518dcf..84694a2 100644 +index 0d4351a..fc520af 100644 --- a/src/local-link.h +++ b/src/local-link.h -@@ -27,7 +27,11 @@ +@@ -27,6 +27,11 @@ #ifndef LIBBSD_LOCAL_LINK_H #define LIBBSD_LOCAL_LINK_H +#ifdef __MACH__ +#define libbsd_link_warning(symbol, msg) ++#define libbsd_symver_default(alias, symbol, version) ++#define libbsd_symver_variant(alias, symbol, version) +#else #define libbsd_link_warning(symbol, msg) \ static const char libbsd_emit_link_warning_##symbol[] \ - __attribute__((used,section(".gnu.warning." #symbol))) = msg; + __attribute__((__used__,__section__(".gnu.warning." #symbol))) = msg; +@@ -45,3 +50,4 @@ + #endif + #endif +#endif diff --git a/src/nlist.c b/src/nlist.c -index 0932f59..4502048 100644 +index 228c220..46c2d77 100644 --- a/src/nlist.c +++ b/src/nlist.c @@ -41,6 +41,7 @@ @@ -202,7 +352,7 @@ index 0932f59..4502048 100644 #include "local-elf.h" #define SIZE_T_MAX 0xffffffffU -@@ -265,3 +266,4 @@ nlist(const char *name, struct nlist *list) +@@ -280,3 +281,4 @@ nlist(const char *name, struct nlist *list) (void)close(fd); return (n); } @@ -227,12 +377,12 @@ index f9f6195..2bc5fb4 100644 static void handler(int); diff --git a/src/setproctitle.c b/src/setproctitle.c -index 038ac7d..e9ee09c 100644 +index ff32aa3..51ed833 100644 --- a/src/setproctitle.c +++ b/src/setproctitle.c -@@ -32,6 +32,10 @@ - #include <unistd.h> +@@ -33,6 +33,10 @@ #include <string.h> + #include "local-link.h" +#ifdef __MACH__ +extern char **environ; @@ -241,30 +391,16 @@ index 038ac7d..e9ee09c 100644 static struct { /* Original value. */ const char *arg0; -@@ -280,16 +284,22 @@ setproctitle_impl(const char *fmt, ...) - *++nul = '\0'; - } - } -+#ifndef __MACH__ - __asm__(".symver setproctitle_impl,setproctitle@@LIBBSD_0.5"); -+#endif - - /* The original function introduced in 0.2 was a stub, it only got implemented +@@ -287,7 +291,8 @@ libbsd_symver_default(setproctitle, setproctitle_impl, LIBBSD_0.5); * in 0.5, make the implementation available in the old version as an alias * for code linking against that version, and change the default to use the * new version, so that new code depends on the implemented version. */ -#ifdef HAVE_TYPEOF +#ifdef __MACH__ -+void setproctitle_stub(const char *fmt, ...); -+#elif HAVE_TYPEOF - extern typeof(setproctitle_impl) setproctitle_stub __attribute__((alias("setproctitle_impl"))); - #else - void setproctitle_stub(const char *fmt, ...) - __attribute__((alias("setproctitle_impl"))); - #endif -+#ifndef __MACH__ - __asm__(".symver setproctitle_stub,setproctitle@LIBBSD_0.2"); -+#endif ++#elif defined(HAVE_TYPEOF) + extern __typeof__(setproctitle_impl) + setproctitle_stub + __attribute__((__alias__("setproctitle_impl"))); diff --git a/src/strlcat.c b/src/strlcat.c index 14c53a1..5961c17 100644 --- a/src/strlcat.c @@ -316,46 +452,3 @@ index e6afde5..da680c9 100644 *p = '\0'; } +#endif -diff --git a/src/unvis.c b/src/unvis.c -index 94e3e7a..fba4c66 100644 ---- a/src/unvis.c -+++ b/src/unvis.c -@@ -565,11 +565,15 @@ strnunvis_openbsd(char *dst, const char *src, size_t dlen) - { - return strnunvisx(dst, dlen, src, 0); - } -+#ifndef __MACH__ - __asm__(".symver strnunvis_openbsd,strnunvis@@LIBBSD_0.2"); -+#endif - - int - strnunvis_netbsd(char *dst, size_t dlen, const char *src) - { - return strnunvisx(dst, dlen, src, 0); - } -+#ifndef __MACH__ - __asm__(".symver strnunvis_netbsd,strnunvis@LIBBSD_0.9.1"); -+#endif -diff --git a/src/vis.c b/src/vis.c -index c2cd2d8..2d84330 100644 ---- a/src/vis.c -+++ b/src/vis.c -@@ -723,14 +723,18 @@ strnvis_openbsd(char *mbdst, const char *mbsrc, size_t dlen, int flags) - { - return istrsenvisxl(&mbdst, &dlen, mbsrc, flags, "", NULL); - } -+#ifndef __MACH__ - __asm__(".symver strnvis_openbsd,strnvis@@LIBBSD_0.2"); -+#endif - - int - strnvis_netbsd(char *mbdst, size_t dlen, const char *mbsrc, int flags) - { - return istrsenvisxl(&mbdst, &dlen, mbsrc, flags, "", NULL); - } -+#ifndef __MACH__ - __asm__(".symver strnvis_netbsd,strnvis@LIBBSD_0.9.1"); -+#endif - - int - stravis(char **mbdstp, const char *mbsrc, int flags) diff --git a/nixpkgs/pkgs/development/libraries/libbsd/default.nix b/nixpkgs/pkgs/development/libraries/libbsd/default.nix index 60c3505f9a1..e251295a4c7 100644 --- a/nixpkgs/pkgs/development/libraries/libbsd/default.nix +++ b/nixpkgs/pkgs/development/libraries/libbsd/default.nix @@ -13,9 +13,7 @@ stdenv.mkDerivation rec { # the configure scripts nativeBuildInputs = [ autoreconfHook ]; - patches = stdenv.lib.optional stdenv.isDarwin ./darwin.patch - # Suitable for all but limited to musl to avoid rebuild - ++ stdenv.lib.optional stdenv.hostPlatform.isMusl ./musl.patch; + patches = [ ./darwin.patch ]; meta = with stdenv.lib; { description = "Common functions found on BSD systems"; diff --git a/nixpkgs/pkgs/development/libraries/libbsd/musl.patch b/nixpkgs/pkgs/development/libraries/libbsd/musl.patch deleted file mode 100644 index fc07cfb6e85..00000000000 --- a/nixpkgs/pkgs/development/libraries/libbsd/musl.patch +++ /dev/null @@ -1,14 +0,0 @@ -Source: maxice8 -Upstream: no -Reason: fixes compilation - ---- a/src/flopen.c -+++ b/src/flopen.c -@@ -34,6 +34,7 @@ - #include <errno.h> - #include <stdarg.h> - #include <unistd.h> -+#include <fcntl.h> - - #include <libutil.h> - diff --git a/nixpkgs/pkgs/development/libraries/libco-canonical/default.nix b/nixpkgs/pkgs/development/libraries/libco-canonical/default.nix new file mode 100644 index 00000000000..09c27ae7c9c --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/libco-canonical/default.nix @@ -0,0 +1,28 @@ +{ stdenv, fetchFromGitHub, pkgconfig }: + +with stdenv.lib; + +stdenv.mkDerivation rec { + pname = "libco-canonical"; + version = "19.1"; + + src = fetchFromGitHub { + owner = "canonical"; + repo = "libco"; + rev = "v${version}"; + sha256 = "03a0fq8f8gc4hjzcf0zsjib4mzag47rxrrg9b5r6bx53vj5rhj78"; + }; + + nativeBuildInputs = [ pkgconfig ]; + + makeFlags = [ "PREFIX=${placeholder "out"}" ]; + + outputs = [ "dev" "out" ]; + + meta = { + description = "A cooperative multithreading library written in C89"; + homepage = "https://github.com/canonical/libco"; + license = licenses.isc; + maintainers = with maintainers; [ wucke13 ]; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/libgda/default.nix b/nixpkgs/pkgs/development/libraries/libgda/default.nix index 27032607996..a1871f6b2e6 100644 --- a/nixpkgs/pkgs/development/libraries/libgda/default.nix +++ b/nixpkgs/pkgs/development/libraries/libgda/default.nix @@ -15,9 +15,18 @@ assert postgresSupport -> postgresql != null; url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; sha256 = "16vxv2qvysh22s8h9h6irx96sacagxkz0i4qgi1wc6ibly6fvjjr"; }; - configureFlags = with stdenv.lib; [ "--enable-gi-system-install=no" ] - ++ (optional (mysqlSupport) "--with-mysql=yes") - ++ (optional (postgresSupport) "--with-postgres=yes"); + configureFlags = with stdenv.lib; [ + "--enable-gi-system-install=no" + "--with-mysql=${if mysqlSupport then "yes" else "no"}" + "--with-postgres=${if postgresSupport then "yes" else "no"}" + + # macOS builds use the sqlite source code that comes with libgda, + # as opposed to using the system or brewed sqlite3, which is not supported on macOS, + # as mentioned in https://github.com/GNOME/libgda/blob/95eeca4b0470f347c645a27f714c62aa6e59f820/libgda/sqlite/README#L31, + # which references the paper https://web.archive.org/web/20100610151539/http://lattice.umiacs.umd.edu/files/functions_tr.pdf + # See also https://github.com/Homebrew/homebrew-core/blob/104f9ecd02854a82372b64d63d41356555378a52/Formula/libgda.rb + "--enable-system-sqlite=${if stdenv.isDarwin then "no" else "yes"}" + ]; enableParallelBuilding = true; @@ -39,6 +48,6 @@ assert postgresSupport -> postgresql != null; homepage = https://www.gnome-db.org/; license = [ licenses.lgpl2 licenses.gpl2 ]; maintainers = gnome3.maintainers; - platforms = platforms.linux; + platforms = platforms.linux ++ platforms.darwin; }; } diff --git a/nixpkgs/pkgs/development/libraries/libgweather/default.nix b/nixpkgs/pkgs/development/libraries/libgweather/default.nix index 65f3592eba0..e51a10cfe8a 100644 --- a/nixpkgs/pkgs/development/libraries/libgweather/default.nix +++ b/nixpkgs/pkgs/development/libraries/libgweather/default.nix @@ -3,13 +3,13 @@ stdenv.mkDerivation rec { pname = "libgweather"; - version = "3.33.92"; + version = "3.34.0"; outputs = [ "out" "dev" "devdoc" ]; src = fetchurl { url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "1w9y79lrl0ablbxr3zpgkgad1296z3nxh1573icx26fwaklyakkz"; + sha256 = "1fgiqswkhiaijanml3mb16ajn5aanrk7x6yiwagp9n9rssam6902"; }; nativeBuildInputs = [ meson ninja pkgconfig gettext vala gtk-doc docbook_xsl docbook_xml_dtd_43 gobject-introspection python3 ]; diff --git a/nixpkgs/pkgs/development/libraries/libheif/default.nix b/nixpkgs/pkgs/development/libraries/libheif/default.nix index 02c4dd33481..f69241ad552 100644 --- a/nixpkgs/pkgs/development/libraries/libheif/default.nix +++ b/nixpkgs/pkgs/development/libraries/libheif/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "libheif"; - version = "1.5.0"; + version = "1.5.1"; src = fetchFromGitHub { owner = "strukturag"; repo = "libheif"; rev = "v${version}"; - sha256 = "1nvfjmnha06689imm8v24nlc011814gc9xq3x54cnmqvh5gn98ah"; + sha256 = "0x6207hiy15k2696476qx9jcbzs90fq8cfv4jw6hi14w4wzq89kr"; }; nativeBuildInputs = [ autoreconfHook pkgconfig ]; diff --git a/nixpkgs/pkgs/development/libraries/libmaxminddb/default.nix b/nixpkgs/pkgs/development/libraries/libmaxminddb/default.nix index 46ed250b7b1..2fa162b7e8b 100644 --- a/nixpkgs/pkgs/development/libraries/libmaxminddb/default.nix +++ b/nixpkgs/pkgs/development/libraries/libmaxminddb/default.nix @@ -12,7 +12,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "C library for working with MaxMind geolocation DB files"; homepage = https://github.com/maxmind/libmaxminddb; - license = licenses.apsl20; + license = licenses.asl20; platforms = platforms.all; maintainers = [ maintainers.vcunat ]; }; diff --git a/nixpkgs/pkgs/development/libraries/libressl/default.nix b/nixpkgs/pkgs/development/libraries/libressl/default.nix index 4bfa6cd0b8e..21e82c7becd 100644 --- a/nixpkgs/pkgs/development/libraries/libressl/default.nix +++ b/nixpkgs/pkgs/development/libraries/libressl/default.nix @@ -1,8 +1,8 @@ -{ stdenv, fetchurl, lib, cmake, cacert }: +{ stdenv, fetchurl, lib, cmake, cacert, fetchpatch, buildShared ? true }: let - generic = { version, sha256 }: stdenv.mkDerivation rec { + generic = { version, sha256, patches ? [] }: stdenv.mkDerivation rec { pname = "libressl"; inherit version; @@ -15,13 +15,14 @@ let cmakeFlags = [ "-DENABLE_NC=ON" - "-DBUILD_SHARED_LIBS=ON" # Ensure that the output libraries do not require an executable stack. # Without this define, assembly files in libcrypto do not include a # .note.GNU-stack section, and if that section is missing from any object, # the linker will make the stack executable. "-DCMAKE_C_FLAGS=-DHAVE_GNU_STACK" - ]; + # libressl will append this to the regular prefix for libdir + "-DCMAKE_INSTALL_LIBDIR=lib" + ] ++ lib.optional buildShared "-DBUILD_SHARED_LIBS=ON"; # The autoconf build is broken as of 2.9.1, resulting in the following error: # libressl-2.9.1/tls/.libs/libtls.a', needed by 'handshake_table'. @@ -31,6 +32,8 @@ let rm configure ''; + inherit patches; + # Since 2.9.x the default location can't be configured from the build using # DEFAULT_CA_FILE anymore, instead we have to patch the default value. postPatch = lib.optionalString (lib.versionAtLeast version "2.9.2") '' @@ -69,10 +72,16 @@ in { libressl_2_9 = generic { version = "2.9.2"; sha256 = "1m6mz515dcbrbnyz8hrpdfjzdmj1c15vbgnqxdxb89g3z9kq3iy4"; + patches = stdenv.lib.optional stdenv.hostPlatform.isMusl [ + (fetchpatch { + url = "https://github.com/libressl-portable/portable/pull/529/commits/a747aacc23607c993cc481378782b2c7dd5bc53b.patch"; + sha256 = "0wbrcscdkjpk4mhh7f3saghi4smia4lhf7fl6la3ahhgx1krn5zm"; + }) + ]; }; libressl_3_0 = generic { - version = "3.0.0"; - sha256 = "0xiwri6xcnl3wb5nbc4aw8pv32s3hp13r9v465yr8wykaw211n81"; + version = "3.0.1"; + sha256 = "1js3fddxwzafiyspif0bwmvpp1fz98mkv10q5xaagqzvjw8xnsk4"; }; } diff --git a/nixpkgs/pkgs/development/libraries/liburing/default.nix b/nixpkgs/pkgs/development/libraries/liburing/default.nix index 855c6ce280e..d02016e87e6 100644 --- a/nixpkgs/pkgs/development/libraries/liburing/default.nix +++ b/nixpkgs/pkgs/development/libraries/liburing/default.nix @@ -4,54 +4,14 @@ stdenv.mkDerivation rec { pname = "liburing"; - version = "0.1"; + version = "0.2pre252_${builtins.substring 0 8 src.rev}"; src = fetchgit { url = "http://git.kernel.dk/liburing"; - rev = "refs/tags/liburing-${version}"; - sha256 = "038iqsbm9bdmlwvmb899bc6g1rw5dalr990azynbvgn8qs5adysh"; + rev = "a9bb08db3f8795eb58239d5dbb888e9c1d424011"; + sha256 = "0gv06fcgqhfkqgiqzjb4qzpxh3h595ypw01a0kmhqnmsnvmb624n"; }; - patches = [ - - # This patch re-introduces support for aarch64-linux, by adding the - # necessary memory barrier primitives for it to work. - # - # Already upstream: remove when moving to the next version - (fetchpatch { - url = "http://git.kernel.dk/cgit/liburing/patch/?id=0520db454c29f1d96cda6cf6cedeb93df65301e8"; - sha256 = "1i8133sb1imzxpplmhlhnaxkffgplhj40vanivc6clbibvhgwpq6"; - }) - - # This patch shuffles the name of the io_uring memory barrier primitives. - # They were using extremely common names by accident, which caused - # namespace conflicts with many other projects using the same names. Note: - # this does not change the user-visible API of liburing (liburing is - # designed exactly to hide the necessary memory barriers when using the - # io_uring syscall directly). It only changes the names of some internals. - # The only reason this caused problems at all is because memory barrier - # primitives are written as preprocessor defines, in a common header file, - # which get included unilaterally. - # - # Already upstream: remove when moving to the next version - (fetchpatch { - url = "http://git.kernel.dk/cgit/liburing/patch/?id=552c6a08d04c74d20eeaa86f535bfd553b352370"; - sha256 = "123d6jdqfy7b8aq9f6ax767n48hhbx6pln3nlrp623595i8zz3wf"; - }) - - # Finally, this patch fixes the aarch64-linux support introduced by the - # first patch, but which was _broken_ by the second patch, in a horrid - # twist of fate: it neglected to change the names of the aarch64 barriers - # appropriately. - # - # Already upstream: remove when moving to the next version - (fetchpatch { - url = "http://git.kernel.dk/cgit/liburing/patch/?id=6e9dd0c8c50b5988a0c77532c9c2bd6afd4790d2"; - sha256 = "11mqa1bp2pdfqh08gpcd98kg7lh3rrng41b4l1wvhxdbvg5rfw9c"; - }) - - ]; - separateDebugInfo = true; enableParallelBuilding = true; @@ -70,6 +30,7 @@ stdenv.mkDerivation rec { mkdir -p $out/bin cp ./examples/io_uring-cp examples/io_uring-test $out/bin cp ./examples/link-cp $out/bin/io_uring-link-cp + cp ./examples/ucontext-cp $out/bin/io_uring-ucontext-cp ''; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/libraries/libuv/default.nix b/nixpkgs/pkgs/development/libraries/libuv/default.nix index 07f99a058d8..f9b49a46ebf 100644 --- a/nixpkgs/pkgs/development/libraries/libuv/default.nix +++ b/nixpkgs/pkgs/development/libraries/libuv/default.nix @@ -1,14 +1,14 @@ { stdenv, lib, fetchFromGitHub, autoconf, automake, libtool, pkgconfig, ApplicationServices, CoreServices }: stdenv.mkDerivation rec { - version = "1.30.1"; + version = "1.32.0"; pname = "libuv"; src = fetchFromGitHub { owner = pname; repo = pname; rev = "v${version}"; - sha256 = "16l207g9qwckxn0vnbnwiybhw6083imdwyfd6ipfsl44b1m8jmf7"; + sha256 = "1ifazxr5ssw2ay6j66acaxgfwq0x8130fvsyjs1wxvf2r9g4ds9w"; }; postPatch = let diff --git a/nixpkgs/pkgs/development/libraries/libwacom/default.nix b/nixpkgs/pkgs/development/libraries/libwacom/default.nix index a4f0a44e60b..7d7dd94eff4 100644 --- a/nixpkgs/pkgs/development/libraries/libwacom/default.nix +++ b/nixpkgs/pkgs/development/libraries/libwacom/default.nix @@ -1,17 +1,22 @@ -{ stdenv, fetchFromGitHub, autoreconfHook, glib, pkgconfig, udev, libgudev }: +{ stdenv, fetchFromGitHub, meson, ninja, glib, pkgconfig, udev, libgudev }: stdenv.mkDerivation rec { pname = "libwacom"; - version = "0.33"; + version = "1.1"; + + outputs = [ "out" "dev" ]; src = fetchFromGitHub { owner = "linuxwacom"; repo = "libwacom"; rev = "libwacom-${version}"; - sha256 = "0np0a7rpnlm9iqw1i8ycz5mprin6bb99p4h522v9vjk4lhzsp34m"; + sha256 = "037vnyfg7nim6h3f4m04w6a9pr6hi04df14qpys580kf5xnf87nz"; }; - nativeBuildInputs = [ pkgconfig autoreconfHook ]; + nativeBuildInputs = [ pkgconfig meson ninja ]; + + mesonFlags = [ "-Dtests=false" ]; + buildInputs = [ glib udev libgudev ]; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/libraries/libwhereami/default.nix b/nixpkgs/pkgs/development/libraries/libwhereami/default.nix index cafd25ede0a..a6715bdc18d 100644 --- a/nixpkgs/pkgs/development/libraries/libwhereami/default.nix +++ b/nixpkgs/pkgs/development/libraries/libwhereami/default.nix @@ -2,10 +2,10 @@ stdenv.mkDerivation rec { pname = "libwhereami"; - version = "0.2.2"; + version = "0.3.0"; src = fetchFromGitHub { - sha256 = "084n153jaq8fmhjififk0xlx1d1i3lclnw2j3ly8bixvc392vzly"; + sha256 = "0yq6m4kh06idp3l8cp7kswn5k8vcaip1zqhypbhszybqa0afb5az"; rev = version; repo = "libwhereami"; owner = "puppetlabs"; @@ -24,8 +24,7 @@ stdenv.mkDerivation rec { description = "Library to report hypervisor information from inside a VM"; license = licenses.asl20; maintainers = [ maintainers.womfoo ]; - platforms = platforms.linux; - badPlatforms = platforms.arm; + platforms = with platforms; [ "i686-linux" "x86_64-linux" ]; # fails on aarch64 }; } diff --git a/nixpkgs/pkgs/development/libraries/libxmlb/default.nix b/nixpkgs/pkgs/development/libraries/libxmlb/default.nix index 4800a6c405c..0469cf13b0c 100644 --- a/nixpkgs/pkgs/development/libraries/libxmlb/default.nix +++ b/nixpkgs/pkgs/development/libraries/libxmlb/default.nix @@ -1,6 +1,5 @@ { stdenv , fetchFromGitHub -, fetchpatch , docbook_xml_dtd_43 , docbook_xsl , glib @@ -17,7 +16,7 @@ stdenv.mkDerivation rec { pname = "libxmlb"; - version = "0.1.11"; + version = "0.1.13"; outputs = [ "out" "lib" "dev" "devdoc" "installedTests" ]; @@ -25,17 +24,10 @@ stdenv.mkDerivation rec { owner = "hughsie"; repo = "libxmlb"; rev = version; - sha256 = "1503v76w7543snqyjxykiqa5va62zb0ccn3jlw0gpdx8973v80mr"; + sha256 = "14bk7bk08mjbildak1l7jq7idcyask7384vigpq9zmwai1gax4s7"; }; patches = [ - # Fix installed tests - # https://github.com/hughsie/libxmlb/pull/2 - (fetchpatch { - url = "https://github.com/hughsie/libxmlb/commit/78850c8b0f644f729fa21e2bf9ebed0d9d6010f3.diff"; - sha256 = "0zw7c6vy8hscln7za7ijqd9svirach3zdskvbzyxxcsm3xcwxpjm"; - }) - ./installed-tests-path.patch ]; diff --git a/nixpkgs/pkgs/development/libraries/opencl-clang/default.nix b/nixpkgs/pkgs/development/libraries/opencl-clang/default.nix new file mode 100644 index 00000000000..50794863def --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/opencl-clang/default.nix @@ -0,0 +1,97 @@ +{ stdenv +, fetchFromGitHub +, fetchpatch +, cmake +, git + +, llvmPackages_8 +, spirv-llvm-translator + +, buildWithPatches ? true +}: + +let + llvmPkgs = llvmPackages_8 // { + inherit spirv-llvm-translator; + }; + + inherit (stdenv.lib) getVersion; + + addPatches = component: pkg: + with builtins; with stdenv.lib; + let path = "${passthru.patchesOut}/${component}"; + in pkg.overrideAttrs (super: { + postPatch = (if super ? postPatch then super.postPatch + "\n" else "") + '' + for p in ${path}/* + do + patch -p1 -i "$p" + done + ''; + }); + + passthru = rec { + + clang-unwrapped = addPatches "clang" llvmPkgs.clang-unwrapped; + + clang = llvmPkgs.clang.override { + cc = clang-unwrapped; + }; + + patchesOut = stdenv.mkDerivation rec { + pname = "opencl-clang-patches"; + inherit (lib) version src patches; + installPhase = '' + [ -d patches ] && cp -r patches/ $out || mkdir $out + mkdir -p $out/clang $out/spirv + ''; + }; + + spirv-llvm-translator = addPatches "spirv" llvmPkgs.spirv-llvm-translator; + + }; + + lib = let + inherit (llvmPkgs) llvm; + inherit (if buildWithPatches then passthru else llvmPkgs) clang-unwrapped spirv-llvm-translator; + in + stdenv.mkDerivation rec { + pname = "opencl-clang"; + version = "unstable-2019-08-16"; + + inherit passthru; + + src = fetchFromGitHub { + owner = "intel"; + repo = "opencl-clang"; + rev = "94af090661d7c953c516c97a25ed053c744a0737"; + sha256 = "05cg89m62nqjqm705h7gpdz4jd4hiczg8944dcjsvaybrqv3hcm5"; + }; + + patches = [ + # Build script tries to find Clang OpenCL headers under ${llvm} + # Work around it by specifying that directory manually. + ./opencl-headers-dir.patch + ]; + + nativeBuildInputs = [ cmake git ]; + + buildInputs = [ clang-unwrapped llvm spirv-llvm-translator ]; + + cmakeFlags = [ + "-DPREFERRED_LLVM_VERSION=${getVersion llvm}" + "-DOPENCL_HEADERS_DIR=${clang-unwrapped}/lib/clang/${getVersion clang-unwrapped}/include/" + + "-DLLVMSPIRV_INCLUDED_IN_LLVM=OFF" + "-DSPIRV_TRANSLATOR_DIR=${spirv-llvm-translator}" + ]; + + meta = with stdenv.lib; { + homepage = https://github.com/intel/opencl-clang/; + description = "A clang wrapper library with an OpenCL-oriented API and the ability to compile OpenCL C kernels to SPIR-V modules"; + license = licenses.ncsa; + platforms = platforms.all; + maintainers = with maintainers; [ gloaming ]; + }; + }; +in + lib diff --git a/nixpkgs/pkgs/development/libraries/opencl-clang/opencl-headers-dir.patch b/nixpkgs/pkgs/development/libraries/opencl-clang/opencl-headers-dir.patch new file mode 100644 index 00000000000..70343b8ee19 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/opencl-clang/opencl-headers-dir.patch @@ -0,0 +1,25 @@ +diff --git a/cl_headers/CMakeLists.txt b/cl_headers/CMakeLists.txt +index 3dd2ea4..aeae6e9 100644 +--- a/cl_headers/CMakeLists.txt ++++ b/cl_headers/CMakeLists.txt +@@ -11,12 +11,14 @@ add_custom_command( + ) + endfunction(copy_file) + +-if(USE_PREBUILT_LLVM) +- set(OPENCL_HEADERS_DIR +- "${LLVM_LIBRARY_DIRS}/clang/${LLVM_VERSION_MAJOR}.${LLVM_VERSION_MINOR}.${LLVM_VERSION_PATCH}/include/") +-else(USE_PREBUILT_LLVM) +- set(OPENCL_HEADERS_DIR "${CLANG_SOURCE_DIR}/lib/Headers") +-endif(USE_PREBUILT_LLVM) ++if(NOT DEFINED OPENCL_HEADERS_DIR) ++ if(USE_PREBUILT_LLVM) ++ set(OPENCL_HEADERS_DIR ++ "${LLVM_LIBRARY_DIRS}/clang/${LLVM_VERSION_MAJOR}.${LLVM_VERSION_MINOR}.${LLVM_VERSION_PATCH}/include/") ++ else(USE_PREBUILT_LLVM) ++ set(OPENCL_HEADERS_DIR "${CLANG_SOURCE_DIR}/lib/Headers") ++ endif(USE_PREBUILT_LLVM) ++endif() + copy_file(${OPENCL_HEADERS_DIR}/opencl-c.h opencl-c.h) + + add_custom_target ( diff --git a/nixpkgs/pkgs/development/libraries/opencsg/default.nix b/nixpkgs/pkgs/development/libraries/opencsg/default.nix index 1fdddf930cf..724c0d7c764 100644 --- a/nixpkgs/pkgs/development/libraries/opencsg/default.nix +++ b/nixpkgs/pkgs/development/libraries/opencsg/default.nix @@ -22,7 +22,7 @@ stdenv.mkDerivation rec { preConfigure = '' rm example/Makefile src/Makefile - qmakeFlags="$qmakeFlags INSTALLDIR=$out" + qmakeFlags=("''${qmakeFlags[@]}" "INSTALLDIR=$out") ''; postInstall = '' diff --git a/nixpkgs/pkgs/development/libraries/openxr-loader/default.nix b/nixpkgs/pkgs/development/libraries/openxr-loader/default.nix index 8013d686585..ec4e1b5e837 100644 --- a/nixpkgs/pkgs/development/libraries/openxr-loader/default.nix +++ b/nixpkgs/pkgs/development/libraries/openxr-loader/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, cmake, python3, libX11, libXxf86vm, libXrandr }: +{ stdenv, fetchFromGitHub, cmake, python3, libX11, libXxf86vm, libXrandr, vulkan-headers, libGL }: stdenv.mkDerivation rec { pname = "openxr-loader"; @@ -12,7 +12,7 @@ stdenv.mkDerivation rec { }; nativeBuildInputs = [ cmake python3 ]; - buildInputs = [ libX11 libXxf86vm libXrandr ]; + buildInputs = [ libX11 libXxf86vm libXrandr vulkan-headers libGL ]; enableParallelBuilding = true; cmakeFlags = [ "-DBUILD_TESTS=OFF" ]; diff --git a/nixpkgs/pkgs/development/libraries/oracle-instantclient/default.nix b/nixpkgs/pkgs/development/libraries/oracle-instantclient/default.nix index a7865fc7f4b..aba9c75ad46 100644 --- a/nixpkgs/pkgs/development/libraries/oracle-instantclient/default.nix +++ b/nixpkgs/pkgs/development/libraries/oracle-instantclient/default.nix @@ -53,7 +53,7 @@ let shortArch = { x86_64-linux = "linux"; - x86_64-darwin = "macos"; + x86_64-darwin = "mac"; }.${stdenv.hostPlatform.system} or throwSystem; # calculate the filename of a single zip file diff --git a/nixpkgs/pkgs/development/libraries/physics/geant4/datasets-hook.sh b/nixpkgs/pkgs/development/libraries/physics/geant4/datasets-hook.sh index 14e3b8f2fc3..8aed8b8832b 100644 --- a/nixpkgs/pkgs/development/libraries/physics/geant4/datasets-hook.sh +++ b/nixpkgs/pkgs/development/libraries/physics/geant4/datasets-hook.sh @@ -1,5 +1,5 @@ @name@ () { - export G4@envvar@DATA="@out@/data" + export G4@envvar@DATA="@datadir@" } postHooks+=(@name@) diff --git a/nixpkgs/pkgs/development/libraries/physics/geant4/datasets.nix b/nixpkgs/pkgs/development/libraries/physics/geant4/datasets.nix index 88f2dc2cd6d..22fda0cf980 100644 --- a/nixpkgs/pkgs/development/libraries/physics/geant4/datasets.nix +++ b/nixpkgs/pkgs/development/libraries/physics/geant4/datasets.nix @@ -1,12 +1,13 @@ -{ stdenv, fetchurl, }: +{ stdenv, fetchurl, geant_version }: let - mkDataset = { name, version, sha256, envvar}: + mkDataset = { name, version, sha256, envvar }: stdenv.mkDerivation { inherit name version; + inherit geant_version; src = fetchurl { - url = "https://geant4-data.web.cern.ch/geant4-data/datasets/${name}.${version}.tar.gz"; + url = "https://cern.ch/geant4-data/datasets/${name}.${version}.tar.gz"; inherit sha256; }; @@ -14,9 +15,10 @@ let dontBuild = true; dontConfigure = true; + datadir = "${placeholder "out"}/share/Geant4-${geant_version}/data/${name}${version}"; installPhase = '' - mkdir -p $out/data - mv ./* $out/data + mkdir -p $datadir + mv ./* $datadir ''; inherit envvar; @@ -40,37 +42,37 @@ in { name = "G4EMLOW"; - version = "7.3"; - sha256 = "583aa7f34f67b09db7d566f904c54b21e95a9ac05b60e2bfb794efb569dba14e"; + version = "7.7"; + sha256 = "16dec6adda6477a97424d749688d73e9bd7d0b84d0137a67cf341f1960984663"; envvar = "LE"; } { name = "G4PhotonEvaporation"; - version = "5.2"; - sha256 = "83607f8d36827b2a7fca19c9c336caffbebf61a359d0ef7cee44a8bcf3fc2d1f"; + version = "5.3"; + sha256 = "d47ababc8cbe548065ef644e9bd88266869e75e2f9e577ebc36bc55bf7a92ec8"; envvar = "LEVELGAMMA"; } { name = "G4RadioactiveDecay"; - version = "5.2"; - sha256 = "99c038d89d70281316be15c3c98a66c5d0ca01ef575127b6a094063003e2af5d"; + version = "5.3"; + sha256 = "5c8992ac57ae56e66b064d3f5cdfe7c2fee76567520ad34a625bfb187119f8c1"; envvar = "RADIOACTIVE"; } { name = "G4SAIDDATA"; - version = "1.1"; - sha256 = "a38cd9a83db62311922850fe609ecd250d36adf264a88e88c82ba82b7da0ed7f"; + version = "2.0"; + sha256 = "1d26a8e79baa71e44d5759b9f55a67e8b7ede31751316a9e9037d80090c72e91"; envvar = "SAIDXS"; } { - name = "G4NEUTRONXS"; - version = "1.4"; - sha256 = "57b38868d7eb060ddd65b26283402d4f161db76ed2169437c266105cca73a8fd"; - envvar = "NEUTRONXS"; + name = "G4PARTICLEXS"; + version = "1.1"; + sha256 = "100a11c9ed961152acfadcc9b583a9f649dda4e48ab314fcd4f333412ade9d62"; + envvar = "PARTICLEXS"; } { @@ -81,6 +83,13 @@ in } { + name = "G4INCL"; + version = "1.0"; + sha256 = "716161821ae9f3d0565fbf3c2cf34f4e02e3e519eb419a82236eef22c2c4367d"; + envvar = "INCL"; + } + + { name = "G4PII"; version = "1.3"; sha256 = "6225ad902675f4381c98c6ba25fc5a06ce87549aa979634d3d03491d6616e926"; diff --git a/nixpkgs/pkgs/development/libraries/physics/geant4/default.nix b/nixpkgs/pkgs/development/libraries/physics/geant4/default.nix index 4ec11777785..96715882c23 100644 --- a/nixpkgs/pkgs/development/libraries/physics/geant4/default.nix +++ b/nixpkgs/pkgs/development/libraries/physics/geant4/default.nix @@ -35,13 +35,13 @@ , libXmu }: -stdenv.mkDerivation { - version = "10.4.1"; +stdenv.mkDerivation rec { + version = "10.5.1"; pname = "geant4"; src = fetchurl{ - url = "http://cern.ch/geant4-data/releases/geant4.10.04.p01.tar.gz"; - sha256 = "a3eb13e4f1217737b842d3869dc5b1fb978f761113e74bd4eaf6017307d234dd"; + url = "http://cern.ch/geant4-data/releases/geant4.10.05.p01.tar.gz"; + sha256 = "f4a292220500fad17e0167ce3153e96e3410ecbe96284e572dc707f63523bdff"; }; cmakeFlags = [ @@ -78,7 +78,10 @@ stdenv.mkDerivation { setupHook = ./geant4-hook.sh; passthru = { - data = import ./datasets.nix { inherit stdenv fetchurl; }; + data = import ./datasets.nix { + inherit stdenv fetchurl; + geant_version = version; + }; }; # Set the myriad of envars required by Geant4 if we use a nix-shell. @@ -95,7 +98,7 @@ stdenv.mkDerivation { ''; homepage = http://www.geant4.org; license = licenses.g4sl; - maintainers = with maintainers; [ tmplt ]; + maintainers = with maintainers; [ tmplt omnipotententity ]; platforms = platforms.linux; }; } diff --git a/nixpkgs/pkgs/development/libraries/physics/geant4/g4py/default.nix b/nixpkgs/pkgs/development/libraries/physics/geant4/g4py/default.nix index 3d6891c48e2..dddd7078b86 100644 --- a/nixpkgs/pkgs/development/libraries/physics/geant4/g4py/default.nix +++ b/nixpkgs/pkgs/development/libraries/physics/geant4/g4py/default.nix @@ -18,7 +18,7 @@ stdenv.mkDerivation { inherit (geant4_nomt) version src; pname = "g4py"; - sourceRoot = "geant4.10.04.p01/environments/g4py"; + sourceRoot = "geant4.10.05.p01/environments/g4py"; nativeBuildInputs = [ cmake ]; buildInputs = [ geant4_nomt xercesc boost_python python ]; diff --git a/nixpkgs/pkgs/development/libraries/polkit/default.nix b/nixpkgs/pkgs/development/libraries/polkit/default.nix index b5b9f1f7fa4..0d220988b15 100644 --- a/nixpkgs/pkgs/development/libraries/polkit/default.nix +++ b/nixpkgs/pkgs/development/libraries/polkit/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchurl, pkgconfig, glib, expat, pam, perl +{ stdenv, fetchurl, pkgconfig, glib, expat, pam, perl, fetchpatch , intltool, spidermonkey_60 , gobject-introspection, libxslt, docbook_xsl, dbus , docbook_xml_dtd_412, gtk-doc, coreutils , useSystemd ? stdenv.isLinux, systemd @@ -22,6 +22,14 @@ stdenv.mkDerivation rec { sha256 = "1c9lbpndh5zis22f154vjrhnqw65z8s85nrgl42v738yf6g0q5w8"; }; + patches = [ + # Don't use etc/dbus-1/system.d + (fetchpatch { + url = "https://gitlab.freedesktop.org/polkit/polkit/merge_requests/11.patch"; + sha256 = "17lv7xj5ksa27iv4zpm4zwd4iy8zbwjj4ximslfq3sasiz9kxhlp"; + }) + ]; + postPatch = stdenv.lib.optionalString stdenv.isDarwin '' sed -i -e "s/-Wl,--as-needed//" configure.ac ''; diff --git a/nixpkgs/pkgs/development/libraries/poppler/0.61-CVE-2019-9959.patch b/nixpkgs/pkgs/development/libraries/poppler/0.61-CVE-2019-9959.patch new file mode 100644 index 00000000000..5c2af7a2adc --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/poppler/0.61-CVE-2019-9959.patch @@ -0,0 +1,20 @@ +diff --git a/poppler/JPEG2000Stream.cc b/poppler/JPEG2000Stream.cc +--- a/poppler/JPEG2000Stream.cc ++++ b/poppler/JPEG2000Stream.cc +@@ -201,7 +201,7 @@ void JPXStream::init() + if (getDict()) smaskInData = getDict()->lookup("SMaskInData"); + + int bufSize = BUFFER_INITIAL_SIZE; +- if (oLen.isInt()) bufSize = oLen.getInt(); ++ if (oLen.isInt() && oLen.getInt() > 0) bufSize = oLen.getInt(); + + if (cspace.isArray() && cspace.arrayGetLength() > 0) { + +@@ -365,7 +365,7 @@ void JPXStream::init() + } + + int bufSize = BUFFER_INITIAL_SIZE; +- if (oLen.isInt()) bufSize = oLen.getInt(); ++ if (oLen.isInt() && oLen.getInt() > 0) bufSize = oLen.getInt(); + + if (cspace.isArray() && cspace.arrayGetLength() > 0) { diff --git a/nixpkgs/pkgs/development/libraries/poppler/0.61.nix b/nixpkgs/pkgs/development/libraries/poppler/0.61.nix index 633c3d69618..cb9cf16531c 100644 --- a/nixpkgs/pkgs/development/libraries/poppler/0.61.nix +++ b/nixpkgs/pkgs/development/libraries/poppler/0.61.nix @@ -8,7 +8,7 @@ }: let - version = "0.61.0"; + version = "0.61.1"; mkFlag = optset: flag: "-DENABLE_${flag}=${if optset then "on" else "off"}"; in stdenv.mkDerivation rec { @@ -16,7 +16,7 @@ stdenv.mkDerivation rec { src = fetchurl { url = "${meta.homepage}/poppler-${version}.tar.xz"; - sha256 = "0zrbb1b77k6bm2qdnra08jnbyllv6vj29790igmp6fzs59xf3kak"; + sha256 = "1afdrxxkaivvviazxkg5blsf2x24sjkfj92ib0d3q5pm8dihjrhj"; }; outputs = [ "out" "dev" ]; @@ -27,6 +27,7 @@ stdenv.mkDerivation rec { url = "https://cgit.freedesktop.org/poppler/poppler/patch/?id=004e3c10df0abda214f0c293f9e269fdd979c5ee"; sha256 = "1l8713s57xc6g81bldw934rsfm140fqc7ggd50ha5mxdl1b3app2"; }) + ./0.61-CVE-2019-9959.patch ]; buildInputs = [ libiconv libintl ] ++ lib.optional withData poppler_data; diff --git a/nixpkgs/pkgs/development/libraries/poppler/default.nix b/nixpkgs/pkgs/development/libraries/poppler/default.nix index 28408cb91f8..523e372996b 100644 --- a/nixpkgs/pkgs/development/libraries/poppler/default.nix +++ b/nixpkgs/pkgs/development/libraries/poppler/default.nix @@ -1,4 +1,4 @@ -{ stdenv, lib, fetchurl, cmake, ninja, pkgconfig, libiconv, libintl +{ stdenv, lib, fetchurl, fetchpatch, cmake, ninja, pkgconfig, libiconv, libintl , zlib, curl, cairo, freetype, fontconfig, lcms, libjpeg, openjpeg , withData ? true, poppler_data , qt5Support ? false, qtbase ? null @@ -21,6 +21,14 @@ stdenv.mkDerivation rec { outputs = [ "out" "dev" ]; + patches = [ + (fetchpatch { + name = "CVE-2019-9959.patch"; + url = "https://gitlab.freedesktop.org/poppler/poppler/commit/68ef84e5968a4249c2162b839ca6d7975048a557.patch"; + sha256 = "17a3qs74fnnrhjys23f4aw5y7yfsk5d507jcj4hh1bndqv6dpwg1"; + }) + ]; + buildInputs = [ libiconv libintl ] ++ lib.optional withData poppler_data; # TODO: reduce propagation to necessary libs diff --git a/nixpkgs/pkgs/development/libraries/pstreams/default.nix b/nixpkgs/pkgs/development/libraries/pstreams/default.nix new file mode 100644 index 00000000000..a734ac1e9fd --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/pstreams/default.nix @@ -0,0 +1,40 @@ +{ stdenv +, fetchgit +}: + +stdenv.mkDerivation rec { + pname = "PStreams"; + version = "1.0.1"; + + src = fetchgit { + url = https://git.code.sf.net/p/pstreams/code; + rev = let dot2Underscore = stdenv.lib.strings.stringAsChars (c: if c == "." then "_" else c); + in "RELEASE_${dot2Underscore version}"; + sha256 = "0r8aj0nh5mkf8cvnzl8bdy4nm7i74vs83axxfimcd74kjfn0irys"; + }; + + makeFlags = [ "prefix=${placeholder "out"}" ]; + dontBuild = true; + doCheck = true; + + preInstall = "rm INSTALL"; + # `make install` fails on case-insensitive file systems (e.g. APFS by + # default) because this target exists + + meta = with stdenv.lib; { + description = "POSIX Process Control in C++"; + longDescription = '' + PStreams allows you to run another program from your C++ application and + to transfer data between the two programs similar to shell pipelines. + + In the simplest case, a PStreams class is like a C++ wrapper for the + POSIX.2 functions popen(3) and pclose(3), using C++ iostreams instead of + C's stdio library. + ''; + homepage = http://pstreams.sourceforge.net/; + downloadPage = http://pstreams.sourceforge.net/download/; + maintainers = with maintainers; [ arthur ]; + license = licenses.boost; + platforms = platforms.all; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/qca2/default.nix b/nixpkgs/pkgs/development/libraries/qca2/default.nix index 19b11a60e7b..4894bee9609 100644 --- a/nixpkgs/pkgs/development/libraries/qca2/default.nix +++ b/nixpkgs/pkgs/development/libraries/qca2/default.nix @@ -1,16 +1,16 @@ -{ stdenv, fetchurl, openssl_1_0_2, cmake, pkgconfig, qt, darwin }: +{ stdenv, fetchurl, openssl, cmake, pkgconfig, qt, darwin }: stdenv.mkDerivation rec { pname = "qca"; - version = "2.1.3"; + version = "2.2.1"; src = fetchurl { - url = "http://download.kde.org/stable/qca/${version}/src/qca-${version}.tar.xz"; - sha256 = "0lz3n652z208daxypdcxiybl0a9fnn6ida0q7fh5f42269mdhgq0"; + url = "http://download.kde.org/stable/qca/${version}/qca-${version}.tar.xz"; + sha256 = "00kv1vsrc8fp556hm8s6yw3240vx3l4067q6vfxrb3gdwgcd45np"; }; nativeBuildInputs = [ cmake pkgconfig ]; - buildInputs = [ openssl_1_0_2 qt ] + buildInputs = [ openssl qt ] ++ stdenv.lib.optional stdenv.isDarwin darwin.apple_sdk.frameworks.Security; enableParallelBuilding = true; diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.11/default.nix b/nixpkgs/pkgs/development/libraries/qt-5/5.11/default.nix index 296db2eab2d..e22dc4dbae3 100644 --- a/nixpkgs/pkgs/development/libraries/qt-5/5.11/default.nix +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.11/default.nix @@ -69,6 +69,13 @@ let qtwebengine = [ ./qtwebengine-no-build-skip.patch ./qtwebengine-darwin-no-platform-check.patch + # https://gitlab.freedesktop.org/pulseaudio/pulseaudio/issues/707 + # https://bugreports.qt.io/browse/QTBUG-77037 + (fetchpatch { + name = "fix-build-with-pulseaudio-13.0.patch"; + url = "https://git.archlinux.org/svntogit/packages.git/plain/trunk/qtbug-77037-workaround.patch?h=packages/qt5-webengine&id=fc77d6b3d5ec74e421b58f199efceb2593cbf951"; + sha256 = "1gv733qfdn9746nbqqxzyjx4ijjqkkb7zb71nxax49nna5bri3am"; + }) ]; qtwebkit = [ ./qtwebkit.patch ]; }; @@ -152,9 +159,7 @@ let qmake = makeSetupHook { deps = [ self.qtbase.dev ]; substitutions = { - inherit (stdenv) isDarwin; - qtbase_dev = self.qtbase.dev; - fix_qt_builtin_paths = ../hooks/fix-qt-builtin-paths.sh; + fix_qmake_libtool = ../hooks/fix-qmake-libtool.sh; }; } ../hooks/qmake-hook.sh; diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/default.nix b/nixpkgs/pkgs/development/libraries/qt-5/5.12/default.nix index 7b4addd9161..c678cf351b1 100644 --- a/nixpkgs/pkgs/development/libraries/qt-5/5.12/default.nix +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/default.nix @@ -16,7 +16,7 @@ top-level attribute to `top-level/all-packages.nix`. { newScope, - stdenv, fetchurl, fetchFromGitHub, makeSetupHook, makeWrapper, + stdenv, fetchurl, fetchpatch, fetchFromGitHub, makeSetupHook, makeWrapper, bison, cups ? null, harfbuzz, libGL, perl, gstreamer, gst-plugins-base, gtk3, dconf, llvmPackages_5, @@ -50,15 +50,34 @@ let }; patches = { - qtbase = [ - ./qtbase.patch - ./qtbase-fixguicmake.patch - ]; + qtbase = + optionals stdenv.isDarwin [ + ./qtbase.patch.d/0001-qtbase-mkspecs-mac.patch + ./qtbase.patch.d/0002-qtbase-mac.patch + ] + ++ [ + ./qtbase.patch.d/0003-qtbase-mkspecs.patch + ./qtbase.patch.d/0004-qtbase-replace-libdir.patch + ./qtbase.patch.d/0005-qtbase-cmake.patch + ./qtbase.patch.d/0006-qtbase-gtk3.patch + ./qtbase.patch.d/0007-qtbase-xcursor.patch + ./qtbase.patch.d/0008-qtbase-xcompose.patch + ./qtbase.patch.d/0009-qtbase-tzdir.patch + ./qtbase.patch.d/0010-qtbase-qtpluginpath.patch + ./qtbase.patch.d/0011-qtbase-assert.patch + ]; qtdeclarative = [ ./qtdeclarative.patch ]; qtscript = [ ./qtscript.patch ]; qtserialport = [ ./qtserialport.patch ]; qtwebengine = [ ./qtwebengine-no-build-skip.patch + # https://gitlab.freedesktop.org/pulseaudio/pulseaudio/issues/707 + # https://bugreports.qt.io/browse/QTBUG-77037 + (fetchpatch { + name = "fix-build-with-pulseaudio-13.0.patch"; + url = "https://git.archlinux.org/svntogit/packages.git/plain/trunk/qtbug-77037-workaround.patch?h=packages/qt5-webengine&id=fc77d6b3d5ec74e421b58f199efceb2593cbf951"; + sha256 = "1gv733qfdn9746nbqqxzyjx4ijjqkkb7zb71nxax49nna5bri3am"; + }) ] ++ optional stdenv.isDarwin ./qtwebengine-darwin-no-platform-check.patch; qtwebkit = [ ./qtwebkit.patch ] @@ -148,9 +167,7 @@ let qmake = makeSetupHook { deps = [ self.qtbase.dev ]; substitutions = { - inherit (stdenv) isDarwin; - qtbase_dev = self.qtbase.dev; - fix_qt_builtin_paths = ../hooks/fix-qt-builtin-paths.sh; + fix_qmake_libtool = ../hooks/fix-qmake-libtool.sh; }; } ../hooks/qmake-hook.sh; diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/fetch.sh b/nixpkgs/pkgs/development/libraries/qt-5/5.12/fetch.sh index a4d2fc82ff2..86cd509a9f2 100644 --- a/nixpkgs/pkgs/development/libraries/qt-5/5.12/fetch.sh +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/fetch.sh @@ -1 +1 @@ -WGET_ARGS=( http://download.qt.io/official_releases/qt/5.12/5.12.3/submodules/ ) +WGET_ARGS=( http://download.qt.io/official_releases/qt/5.12/5.12.4/submodules/ ) diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase-fixguicmake.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase-fixguicmake.patch deleted file mode 100644 index 8b46d432812..00000000000 --- a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase-fixguicmake.patch +++ /dev/null @@ -1,30 +0,0 @@ -diff --git a/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in b/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in -index 0bbc871..3673634 100644 ---- a/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in -+++ b/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in -@@ -286,7 +286,7 @@ if (NOT TARGET Qt5::$${CMAKE_MODULE_NAME}) - macro(_populate_$${CMAKE_MODULE_NAME}_plugin_properties Plugin Configuration PLUGIN_LOCATION) - set_property(TARGET Qt5::${Plugin} APPEND PROPERTY IMPORTED_CONFIGURATIONS ${Configuration}) - -- set(imported_location \"$${CMAKE_PLUGIN_DIR}${PLUGIN_LOCATION}\") -+ set(imported_location \"${PLUGIN_LOCATION}\") - _qt5_$${CMAKE_MODULE_NAME}_check_file_exists(${imported_location}) - set_target_properties(Qt5::${Plugin} PROPERTIES - \"IMPORTED_LOCATION_${Configuration}\" ${imported_location} -diff --git a/mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in b/mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in -index 5baf0fd..3583745 100644 ---- a/mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in -+++ b/mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in -@@ -2,10 +2,10 @@ - add_library(Qt5::$$CMAKE_PLUGIN_NAME MODULE IMPORTED) - - !!IF !isEmpty(CMAKE_RELEASE_TYPE) --_populate_$${CMAKE_MODULE_NAME}_plugin_properties($$CMAKE_PLUGIN_NAME RELEASE \"$${CMAKE_PLUGIN_LOCATION_RELEASE}\") -+_populate_$${CMAKE_MODULE_NAME}_plugin_properties($$CMAKE_PLUGIN_NAME RELEASE \"$${CMAKE_PLUGIN_DIR}$${CMAKE_PLUGIN_LOCATION_RELEASE}\") - !!ENDIF - !!IF !isEmpty(CMAKE_DEBUG_TYPE) --_populate_$${CMAKE_MODULE_NAME}_plugin_properties($$CMAKE_PLUGIN_NAME DEBUG \"$${CMAKE_PLUGIN_LOCATION_DEBUG}\") -+_populate_$${CMAKE_MODULE_NAME}_plugin_properties($$CMAKE_PLUGIN_NAME DEBUG \"$${CMAKE_PLUGIN_DIR}$${CMAKE_PLUGIN_LOCATION_DEBUG}\") - !!ENDIF - - list(APPEND Qt5$${CMAKE_MODULE_NAME}_PLUGINS Qt5::$$CMAKE_PLUGIN_NAME) diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch deleted file mode 100644 index 87ed0ddc4d4..00000000000 --- a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch +++ /dev/null @@ -1,1146 +0,0 @@ -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/common/mac.conf qtbase-everywhere-src-5.12.3-b/mkspecs/common/mac.conf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/common/mac.conf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/common/mac.conf 2019-07-10 09:35:08.917628566 -0500 -@@ -24,7 +24,7 @@ - - QMAKE_FIX_RPATH = install_name_tool -id - --QMAKE_LFLAGS_RPATH = -Wl,-rpath, -+QMAKE_LFLAGS_RPATH = - QMAKE_LFLAGS_GCSECTIONS = -Wl,-dead_strip - - QMAKE_LFLAGS_REL_RPATH = -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/create_cmake.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/create_cmake.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/create_cmake.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/create_cmake.prf 2019-07-10 09:35:08.917628566 -0500 -@@ -21,7 +21,7 @@ - # at cmake time whether package has been found via a symlink, and correct - # that to an absolute path. This is only done for installations to - # the /usr or / prefix. --CMAKE_INSTALL_LIBS_DIR = $$cmakeTargetPath($$[QT_INSTALL_LIBS]) -+CMAKE_INSTALL_LIBS_DIR = $$cmakeTargetPath($$NIX_OUTPUT_OUT/lib/) - contains(CMAKE_INSTALL_LIBS_DIR, ^(/usr)?/lib(64)?.*): CMAKE_USR_MOVE_WORKAROUND = $$CMAKE_INSTALL_LIBS_DIR - - CMAKE_OUT_DIR = $$MODULE_BASE_OUTDIR/lib/cmake -@@ -51,45 +51,20 @@ - $$cmake_extra_source_includes.output - } - --CMAKE_INCLUDE_DIR = $$cmakeRelativePath($$[QT_INSTALL_HEADERS], $$[QT_INSTALL_PREFIX]) --contains(CMAKE_INCLUDE_DIR, "^\\.\\./.*") { -- CMAKE_INCLUDE_DIR = $$[QT_INSTALL_HEADERS]/ -- CMAKE_INCLUDE_DIR_IS_ABSOLUTE = True --} -+CMAKE_INCLUDE_DIR = $$NIX_OUTPUT_DEV/include/ -+CMAKE_INCLUDE_DIR_IS_ABSOLUTE = True - --CMAKE_LIB_DIR = $$cmakeRelativePath($$[QT_INSTALL_LIBS], $$[QT_INSTALL_PREFIX]) --contains(CMAKE_LIB_DIR,"^\\.\\./.*") { -- CMAKE_LIB_DIR = $$[QT_INSTALL_LIBS]/ -- CMAKE_LIB_DIR_IS_ABSOLUTE = True --} else { -- CMAKE_RELATIVE_INSTALL_LIBS_DIR = $$cmakeRelativePath($$[QT_INSTALL_PREFIX], $$[QT_INSTALL_LIBS]) -- # We need to go up another two levels because the CMake files are -- # installed in $${CMAKE_LIB_DIR}/cmake/Qt5$${CMAKE_MODULE_NAME} -- CMAKE_RELATIVE_INSTALL_DIR = "$${CMAKE_RELATIVE_INSTALL_LIBS_DIR}../../" --} -+CMAKE_BIN_DIR = $$NIX_OUTPUT_BIN/bin/ -+CMAKE_BIN_DIR_IS_ABSOLUTE = True - --CMAKE_BIN_DIR = $$cmakeRelativePath($$[QT_HOST_BINS], $$[QT_INSTALL_PREFIX]) --contains(CMAKE_BIN_DIR, "^\\.\\./.*") { -- CMAKE_BIN_DIR = $$[QT_HOST_BINS]/ -- CMAKE_BIN_DIR_IS_ABSOLUTE = True --} -+CMAKE_LIB_DIR = $$NIX_OUTPUT_OUT/lib/ -+CMAKE_LIB_DIR_IS_ABSOLUTE = True - --CMAKE_PLUGIN_DIR = $$cmakeRelativePath($$[QT_INSTALL_PLUGINS], $$[QT_INSTALL_PREFIX]) --contains(CMAKE_PLUGIN_DIR, "^\\.\\./.*") { -- CMAKE_PLUGIN_DIR = $$[QT_INSTALL_PLUGINS]/ -- CMAKE_PLUGIN_DIR_IS_ABSOLUTE = True --} -- --win32:!static:!staticlib { -- CMAKE_DLL_DIR = $$cmakeRelativePath($$[QT_INSTALL_BINS], $$[QT_INSTALL_PREFIX]) -- contains(CMAKE_DLL_DIR, "^\\.\\./.*") { -- CMAKE_DLL_DIR = $$[QT_INSTALL_BINS]/ -- CMAKE_DLL_DIR_IS_ABSOLUTE = True -- } --} else { -- CMAKE_DLL_DIR = $$CMAKE_LIB_DIR -- CMAKE_DLL_DIR_IS_ABSOLUTE = $$CMAKE_LIB_DIR_IS_ABSOLUTE --} -+CMAKE_PLUGIN_DIR = $$NIX_OUTPUT_PLUGIN/ -+CMAKE_PLUGIN_DIR_IS_ABSOLUTE = True -+ -+CMAKE_DLL_DIR = $$NIX_OUTPUT_OUT/lib/ -+CMAKE_DLL_DIR_IS_ABSOLUTE = True - - static|staticlib:CMAKE_STATIC_TYPE = true - -@@ -169,7 +144,7 @@ - cmake_target_file - - cmake_qt5_plugin_file.files = $$cmake_target_file.output -- cmake_qt5_plugin_file.path = $$[QT_INSTALL_LIBS]/cmake/Qt5$${CMAKE_MODULE_NAME} -+ cmake_qt5_plugin_file.path = $$NIX_OUTPUT_OUT/lib/cmake/Qt5$${CMAKE_MODULE_NAME} - INSTALLS += cmake_qt5_plugin_file - - return() -@@ -318,7 +293,7 @@ - cmake_qt5_module_files.files += $$cmake_macros_file.output - } - --cmake_qt5_module_files.path = $$[QT_INSTALL_LIBS]/cmake/Qt5$${CMAKE_MODULE_NAME} -+cmake_qt5_module_files.path = $$NIX_OUTPUT_OUT/lib/cmake/Qt5$${CMAKE_MODULE_NAME} - - # We are generating cmake files. Most developers of Qt are not aware of cmake, - # so we require automatic tests to be available. The only module which should -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in qtbase-everywhere-src-5.12.3-b/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in 2019-07-10 09:35:08.917628566 -0500 -@@ -3,30 +3,6 @@ - message(FATAL_ERROR \"Qt 5 $${CMAKE_MODULE_NAME} module requires at least CMake version 3.1.0\") - endif() - --!!IF !isEmpty(CMAKE_USR_MOVE_WORKAROUND) --!!IF !isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) --set(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"$$[QT_INSTALL_PREFIX]\") --!!ELSE --get_filename_component(_IMPORT_PREFIX \"${CMAKE_CURRENT_LIST_FILE}\" PATH) --# Use original install prefix when loaded through a --# cross-prefix symbolic link such as /lib -> /usr/lib. --get_filename_component(_realCurr \"${_IMPORT_PREFIX}\" REALPATH) --get_filename_component(_realOrig \"$$CMAKE_INSTALL_LIBS_DIR/cmake/Qt5$${CMAKE_MODULE_NAME}\" REALPATH) --if(_realCurr STREQUAL _realOrig) -- get_filename_component(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"$$CMAKE_INSTALL_LIBS_DIR/$${CMAKE_RELATIVE_INSTALL_LIBS_DIR}\" ABSOLUTE) --else() -- get_filename_component(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"${CMAKE_CURRENT_LIST_DIR}/$${CMAKE_RELATIVE_INSTALL_DIR}\" ABSOLUTE) --endif() --unset(_realOrig) --unset(_realCurr) --unset(_IMPORT_PREFIX) --!!ENDIF --!!ELIF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) --get_filename_component(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"${CMAKE_CURRENT_LIST_DIR}/$${CMAKE_RELATIVE_INSTALL_DIR}\" ABSOLUTE) --!!ELSE --set(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"$$[QT_INSTALL_PREFIX]\") --!!ENDIF -- - !!IF !equals(TEMPLATE, aux) - # For backwards compatibility only. Use Qt5$${CMAKE_MODULE_NAME}_VERSION instead. - set(Qt5$${CMAKE_MODULE_NAME}_VERSION_STRING "$$eval(QT.$${MODULE}.VERSION)") -@@ -52,11 +28,7 @@ - macro(_populate_$${CMAKE_MODULE_NAME}_target_properties Configuration LIB_LOCATION IMPLIB_LOCATION) - set_property(TARGET Qt5::$${CMAKE_MODULE_NAME} APPEND PROPERTY IMPORTED_CONFIGURATIONS ${Configuration}) - --!!IF isEmpty(CMAKE_DLL_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_DLL_DIR}${LIB_LOCATION}\") --!!ELSE - set(imported_location \"$${CMAKE_DLL_DIR}${LIB_LOCATION}\") --!!ENDIF - _qt5_$${CMAKE_MODULE_NAME}_check_file_exists(${imported_location}) - set_target_properties(Qt5::$${CMAKE_MODULE_NAME} PROPERTIES - \"INTERFACE_LINK_LIBRARIES\" \"${_Qt5$${CMAKE_MODULE_NAME}_LIB_DEPENDENCIES}\" -@@ -69,11 +41,7 @@ - ) - - !!IF !isEmpty(CMAKE_WINDOWS_BUILD) --!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) -- set(imported_implib \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") --!!ELSE - set(imported_implib \"IMPORTED_IMPLIB_${Configuration}\" \"$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") --!!ENDIF - _qt5_$${CMAKE_MODULE_NAME}_check_file_exists(${imported_implib}) - if(NOT \"${IMPLIB_LOCATION}\" STREQUAL \"\") - set_target_properties(Qt5::$${CMAKE_MODULE_NAME} PROPERTIES -@@ -89,24 +57,13 @@ - !!IF !no_module_headers - !!IF !isEmpty(CMAKE_BUILD_IS_FRAMEWORK) - set(_Qt5$${CMAKE_MODULE_NAME}_OWN_INCLUDE_DIRS -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}Qt$${CMAKE_MODULE_NAME}.framework\" -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}Qt$${CMAKE_MODULE_NAME}.framework/Headers\" -+ \"$${CMAKE_LIB_DIR}Qt$${CMAKE_MODULE_NAME}.framework\" -+ \"$${CMAKE_LIB_DIR}Qt$${CMAKE_MODULE_NAME}.framework/Headers\" - ) - !!IF isEmpty(CMAKE_NO_PRIVATE_INCLUDES) - set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}Qt$${CMAKE_MODULE_NAME}.framework/Versions/$$section(VERSION, ., 0, 0)/Headers/$$VERSION/\" -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}Qt$${CMAKE_MODULE_NAME}.framework/Versions/$$section(VERSION, ., 0, 0)/Headers/$$VERSION/$${MODULE_INCNAME}\" -- ) --!!ELSE -- set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS \"\") --!!ENDIF --!!ELSE --!!IF isEmpty(CMAKE_INCLUDE_DIR_IS_ABSOLUTE) -- set(_Qt5$${CMAKE_MODULE_NAME}_OWN_INCLUDE_DIRS \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$$CMAKE_INCLUDE_DIR\" \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_INCLUDE_DIR}$${MODULE_INCNAME}\") --!!IF isEmpty(CMAKE_NO_PRIVATE_INCLUDES) -- set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_INCLUDE_DIR}$${MODULE_INCNAME}/$$VERSION\" -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_INCLUDE_DIR}$${MODULE_INCNAME}/$$VERSION/$${MODULE_INCNAME}\" -+ \"$${CMAKE_LIB_DIR}Qt$${CMAKE_MODULE_NAME}.framework/Versions/$$section(VERSION, ., 0, 0)/Headers/$$VERSION/\" -+ \"$${CMAKE_LIB_DIR}Qt$${CMAKE_MODULE_NAME}.framework/Versions/$$section(VERSION, ., 0, 0)/Headers/$$VERSION/$${MODULE_INCNAME}\" - ) - !!ELSE - set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS \"\") -@@ -122,7 +79,6 @@ - set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS \"\") - !!ENDIF - !!ENDIF --!!ENDIF - !!IF !isEmpty(CMAKE_ADD_SOURCE_INCLUDE_DIRS) - include(\"${CMAKE_CURRENT_LIST_DIR}/ExtraSourceIncludes.cmake\" OPTIONAL) - !!ENDIF -@@ -272,25 +228,13 @@ - !!IF !isEmpty(CMAKE_FIND_OTHER_LIBRARY_BUILD) - !!IF isEmpty(CMAKE_DEBUG_TYPE) - !!IF !isEmpty(CMAKE_STATIC_WINDOWS_BUILD) --!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) -- if (EXISTS \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) --!!ELSE // CMAKE_LIB_DIR_IS_ABSOLUTE - if (EXISTS \"$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) --!!ENDIF // CMAKE_LIB_DIR_IS_ABSOLUTE - _populate_$${CMAKE_MODULE_NAME}_target_properties(DEBUG \"$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" \"\" ) - !!ELSE // CMAKE_STATIC_WINDOWS_BUILD - if (EXISTS --!!IF isEmpty(CMAKE_DLL_DIR_IS_ABSOLUTE) -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_DLL_DIR}$${CMAKE_LIB_FILE_LOCATION_DEBUG}\" --!!ELSE - \"$${CMAKE_LIB_FILE_LOCATION_DEBUG}\" --!!ENDIF - AND EXISTS --!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) --!!ELSE - \"$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) --!!ENDIF - _populate_$${CMAKE_MODULE_NAME}_target_properties(DEBUG \"$${CMAKE_LIB_FILE_LOCATION_DEBUG}\" \"$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) - !!ENDIF // CMAKE_STATIC_WINDOWS_BUILD - endif() -@@ -309,25 +253,13 @@ - !!IF !isEmpty(CMAKE_FIND_OTHER_LIBRARY_BUILD) - !!IF isEmpty(CMAKE_RELEASE_TYPE) - !!IF !isEmpty(CMAKE_STATIC_WINDOWS_BUILD) --!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) -- if (EXISTS \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) --!!ELSE // CMAKE_LIB_DIR_IS_ABSOLUTE - if (EXISTS \"$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) --!!ENDIF // CMAKE_LIB_DIR_IS_ABSOLUTE - _populate_$${CMAKE_MODULE_NAME}_target_properties(RELEASE \"$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" \"\" ) - !!ELSE // CMAKE_STATIC_WINDOWS_BUILD - if (EXISTS --!!IF isEmpty(CMAKE_DLL_DIR_IS_ABSOLUTE) -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_DLL_DIR}$${CMAKE_LIB_FILE_LOCATION_RELEASE}\" --!!ELSE - \"$${CMAKE_LIB_FILE_LOCATION_RELEASE}\" --!!ENDIF - AND EXISTS --!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) --!!ELSE - \"$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) --!!ENDIF - _populate_$${CMAKE_MODULE_NAME}_target_properties(RELEASE \"$${CMAKE_LIB_FILE_LOCATION_RELEASE}\" \"$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) - !!ENDIF // CMAKE_STATIC_WINDOWS_BUILD - endif() -@@ -346,11 +278,7 @@ - macro(_populate_$${CMAKE_MODULE_NAME}_plugin_properties Plugin Configuration PLUGIN_LOCATION) - set_property(TARGET Qt5::${Plugin} APPEND PROPERTY IMPORTED_CONFIGURATIONS ${Configuration}) - --!!IF isEmpty(CMAKE_PLUGIN_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_PLUGIN_DIR}${PLUGIN_LOCATION}\") --!!ELSE - set(imported_location \"$${CMAKE_PLUGIN_DIR}${PLUGIN_LOCATION}\") --!!ENDIF - _qt5_$${CMAKE_MODULE_NAME}_check_file_exists(${imported_location}) - set_target_properties(Qt5::${Plugin} PROPERTIES - \"IMPORTED_LOCATION_${Configuration}\" ${imported_location} -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/mac/default_post.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/mac/default_post.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/mac/default_post.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/mac/default_post.prf 2019-07-10 09:35:08.917628566 -0500 -@@ -64,202 +64,6 @@ - } - } - --# Add the same default rpaths as Xcode does for new projects. --# This is especially important for iOS/tvOS/watchOS where no other option is possible. --!no_default_rpath { -- QMAKE_RPATHDIR += @executable_path/../Frameworks -- equals(TEMPLATE, lib):!plugin:lib_bundle: QMAKE_RPATHDIR += @loader_path/Frameworks --} -- --# Don't pass -headerpad_max_install_names when using Bitcode. --# In that case the linker emits a warning stating that the flag is ignored when --# used with bitcode, for reasons that cannot be determined (rdar://problem/20748962). --# Using this flag is also unnecessary in practice on UIKit platforms since they --# are sandboxed, and only UIKit platforms support bitcode to begin with. --!bitcode: QMAKE_LFLAGS += $$QMAKE_LFLAGS_HEADERPAD -- --app_extension_api_only { -- QMAKE_CFLAGS += $$QMAKE_CFLAGS_APPLICATION_EXTENSION -- QMAKE_CXXFLAGS += $$QMAKE_CFLAGS_APPLICATION_EXTENSION -- QMAKE_CXXFLAGS_PRECOMPILE += $$QMAKE_CFLAGS_APPLICATION_EXTENSION -- QMAKE_LFLAGS += $$QMAKE_CFLAGS_APPLICATION_EXTENSION --} -- --macx-xcode { -- qmake_pkginfo_typeinfo.name = QMAKE_PKGINFO_TYPEINFO -- !isEmpty(QMAKE_PKGINFO_TYPEINFO): \ -- qmake_pkginfo_typeinfo.value = $$QMAKE_PKGINFO_TYPEINFO -- else: \ -- qmake_pkginfo_typeinfo.value = "????" -- QMAKE_MAC_XCODE_SETTINGS += qmake_pkginfo_typeinfo -- -- !isEmpty(VERSION) { -- l = $$split(VERSION, '.') 0 0 # make sure there are at least three -- VER_MAJ = $$member(l, 0, 0) -- VER_MIN = $$member(l, 1, 1) -- VER_PAT = $$member(l, 2, 2) -- unset(l) -- -- qmake_full_version.name = QMAKE_FULL_VERSION -- qmake_full_version.value = $${VER_MAJ}.$${VER_MIN}.$${VER_PAT} -- QMAKE_MAC_XCODE_SETTINGS += qmake_full_version -- -- qmake_short_version.name = QMAKE_SHORT_VERSION -- qmake_short_version.value = $${VER_MAJ}.$${VER_MIN} -- QMAKE_MAC_XCODE_SETTINGS += qmake_short_version -- } -- -- !isEmpty(QMAKE_XCODE_DEBUG_INFORMATION_FORMAT) { -- debug_information_format.name = DEBUG_INFORMATION_FORMAT -- debug_information_format.value = $$QMAKE_XCODE_DEBUG_INFORMATION_FORMAT -- debug_information_format.build = debug -- QMAKE_MAC_XCODE_SETTINGS += debug_information_format -- } -- -- QMAKE_XCODE_ARCHS = -- -- arch_device.name = "ARCHS[sdk=$${device.sdk}*]" -- arch_device.value = $$QMAKE_APPLE_DEVICE_ARCHS -- QMAKE_XCODE_ARCHS += $$QMAKE_APPLE_DEVICE_ARCHS -- QMAKE_MAC_XCODE_SETTINGS += arch_device -- -- simulator { -- arch_simulator.name = "ARCHS[sdk=$${simulator.sdk}*]" -- arch_simulator.value = $$QMAKE_APPLE_SIMULATOR_ARCHS -- QMAKE_XCODE_ARCHS += $$QMAKE_APPLE_SIMULATOR_ARCHS -- QMAKE_MAC_XCODE_SETTINGS += arch_simulator -- } -- -- only_active_arch.name = ONLY_ACTIVE_ARCH -- only_active_arch.value = YES -- only_active_arch.build = debug -- QMAKE_MAC_XCODE_SETTINGS += only_active_arch --} else { -- device|!simulator: VALID_DEVICE_ARCHS = $$QMAKE_APPLE_DEVICE_ARCHS -- simulator: VALID_SIMULATOR_ARCHS = $$QMAKE_APPLE_SIMULATOR_ARCHS -- VALID_ARCHS = $$VALID_DEVICE_ARCHS $$VALID_SIMULATOR_ARCHS -- -- isEmpty(VALID_ARCHS): \ -- error("QMAKE_APPLE_DEVICE_ARCHS or QMAKE_APPLE_SIMULATOR_ARCHS must contain at least one architecture") -- -- single_arch: VALID_ARCHS = $$first(VALID_ARCHS) -- -- ACTIVE_ARCHS = $(filter $(EXPORT_VALID_ARCHS), $(ARCHS)) -- ARCH_ARGS = $(foreach arch, $(if $(EXPORT_ACTIVE_ARCHS), $(EXPORT_ACTIVE_ARCHS), $(EXPORT_VALID_ARCHS)), -arch $(arch)) -- -- QMAKE_EXTRA_VARIABLES += VALID_ARCHS ACTIVE_ARCHS ARCH_ARGS -- -- arch_flags = $(EXPORT_ARCH_ARGS) -- -- QMAKE_CFLAGS += $$arch_flags -- QMAKE_CXXFLAGS += $$arch_flags -- QMAKE_LFLAGS += $$arch_flags -- -- QMAKE_PCH_ARCHS = $$VALID_ARCHS -- -- macos: deployment_target = $$QMAKE_MACOSX_DEPLOYMENT_TARGET -- ios: deployment_target = $$QMAKE_IOS_DEPLOYMENT_TARGET -- tvos: deployment_target = $$QMAKE_TVOS_DEPLOYMENT_TARGET -- watchos: deployment_target = $$QMAKE_WATCHOS_DEPLOYMENT_TARGET -- -- # If we're doing a simulator and device build, device and simulator -- # architectures use different paths and flags for the sysroot and -- # deployment target switch, so we must multiplex them across multiple -- # architectures using -Xarch. Otherwise we fall back to the simple path. -- # This is not strictly necessary, but results in cleaner command lines -- # and makes it easier for people to override EXPORT_VALID_ARCHS to limit -- # individual rules to a different set of architecture(s) from the overall -- # build (such as machtest in QtCore). -- simulator:device { -- QMAKE_XARCH_CFLAGS = -- QMAKE_XARCH_LFLAGS = -- QMAKE_EXTRA_VARIABLES += QMAKE_XARCH_CFLAGS QMAKE_XARCH_LFLAGS -- -- for (arch, VALID_ARCHS) { -- contains(VALID_SIMULATOR_ARCHS, $$arch) { -- sdk = $$simulator.sdk -- version_identifier = $$simulator.deployment_identifier -- } else { -- sdk = $$device.sdk -- version_identifier = $$device.deployment_identifier -- } -- -- version_min_flags = \ -- -Xarch_$${arch} \ -- -m$${version_identifier}-version-min=$$deployment_target -- QMAKE_XARCH_CFLAGS_$${arch} = $$version_min_flags \ -- -Xarch_$${arch} \ -- -isysroot$$xcodeSDKInfo(Path, $$sdk) -- QMAKE_XARCH_LFLAGS_$${arch} = $$version_min_flags \ -- -Xarch_$${arch} \ -- -Wl,-syslibroot,$$xcodeSDKInfo(Path, $$sdk) -- -- QMAKE_XARCH_CFLAGS += $(EXPORT_QMAKE_XARCH_CFLAGS_$${arch}) -- QMAKE_XARCH_LFLAGS += $(EXPORT_QMAKE_XARCH_LFLAGS_$${arch}) -- -- QMAKE_EXTRA_VARIABLES += \ -- QMAKE_XARCH_CFLAGS_$${arch} \ -- QMAKE_XARCH_LFLAGS_$${arch} -- } -- -- QMAKE_CFLAGS += $(EXPORT_QMAKE_XARCH_CFLAGS) -- QMAKE_CXXFLAGS += $(EXPORT_QMAKE_XARCH_CFLAGS) -- QMAKE_LFLAGS += $(EXPORT_QMAKE_XARCH_LFLAGS) -- } else { -- simulator: \ -- version_identifier = $$simulator.deployment_identifier -- else: \ -- version_identifier = $$device.deployment_identifier -- version_min_flag = -m$${version_identifier}-version-min=$$deployment_target -- QMAKE_CFLAGS += -isysroot $$QMAKE_MAC_SDK_PATH $$version_min_flag -- QMAKE_CXXFLAGS += -isysroot $$QMAKE_MAC_SDK_PATH $$version_min_flag -- QMAKE_LFLAGS += -Wl,-syslibroot,$$QMAKE_MAC_SDK_PATH $$version_min_flag -- } -- -- # Enable precompiled headers for multiple architectures -- QMAKE_CFLAGS_USE_PRECOMPILE = -- for (arch, VALID_ARCHS) { -- icc_pch_style: \ -- use_flag = "-pch-use " -- else: \ -- use_flag = -include -- -- # Only use Xarch with multi-arch, as the option confuses ccache -- count(VALID_ARCHS, 1, greaterThan): \ -- QMAKE_CFLAGS_USE_PRECOMPILE += \ -- -Xarch_$${arch} -- -- QMAKE_CFLAGS_USE_PRECOMPILE += \ -- $${use_flag}${QMAKE_PCH_OUTPUT_$${arch}} -- } -- icc_pch_style { -- QMAKE_CXXFLAGS_USE_PRECOMPILE = $$QMAKE_CFLAGS_USE_PRECOMPILE -include ${QMAKE_PCH_INPUT} -- QMAKE_CFLAGS_USE_PRECOMPILE = -- } else { -- QMAKE_CXXFLAGS_USE_PRECOMPILE = $$QMAKE_CFLAGS_USE_PRECOMPILE -- QMAKE_OBJCFLAGS_USE_PRECOMPILE = $$QMAKE_CFLAGS_USE_PRECOMPILE -- QMAKE_OBJCXXFLAGS_USE_PRECOMPILE = $$QMAKE_CFLAGS_USE_PRECOMPILE -- } -- -- QMAKE_PCH_OUTPUT_EXT = _${QMAKE_PCH_ARCH}$${QMAKE_PCH_OUTPUT_EXT} --} -- --cache(QMAKE_XCODE_DEVELOPER_PATH, stash) --!isEmpty(QMAKE_XCODE_VERSION): \ -- cache(QMAKE_XCODE_VERSION, stash) -- --QMAKE_XCODE_LIBRARY_SUFFIX = $$qtPlatformTargetSuffix() -- --xcode_product_bundle_identifier_setting.name = PRODUCT_BUNDLE_IDENTIFIER --xcode_product_bundle_identifier_setting.value = $$QMAKE_TARGET_BUNDLE_PREFIX --isEmpty(xcode_product_bundle_identifier_setting.value): \ -- xcode_product_bundle_identifier_setting.value = "com.yourcompany" --xcode_product_bundle_target = $$QMAKE_BUNDLE --isEmpty(xcode_product_bundle_target): \ -- xcode_product_bundle_target = ${PRODUCT_NAME:rfc1034identifier} --xcode_product_bundle_identifier_setting.value = "$${xcode_product_bundle_identifier_setting.value}.$${xcode_product_bundle_target}" --QMAKE_MAC_XCODE_SETTINGS += xcode_product_bundle_identifier_setting -- - !macx-xcode { - generate_xcode_project.commands = @$(QMAKE) -spec macx-xcode $(EXPORT__PRO_FILE_) - generate_xcode_project.target = xcodeproj -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/mac/default_pre.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/mac/default_pre.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/mac/default_pre.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/mac/default_pre.prf 2019-07-10 09:35:08.917628566 -0500 -@@ -1,60 +1,2 @@ - CONFIG = asset_catalogs rez $$CONFIG - load(default_pre) -- --isEmpty(QMAKE_XCODE_DEVELOPER_PATH) { -- # Get path of Xcode's Developer directory -- QMAKE_XCODE_DEVELOPER_PATH = $$system("/usr/bin/xcode-select --print-path 2>/dev/null") -- isEmpty(QMAKE_XCODE_DEVELOPER_PATH): \ -- error("Xcode path is not set. Please use xcode-select to choose Xcode installation path.") -- -- # Make sure Xcode path is valid -- !exists($$QMAKE_XCODE_DEVELOPER_PATH): \ -- error("Xcode is not installed in $${QMAKE_XCODE_DEVELOPER_PATH}. Please use xcode-select to choose Xcode installation path.") --} -- --isEmpty(QMAKE_XCODEBUILD_PATH): \ -- QMAKE_XCODEBUILD_PATH = $$system("/usr/bin/xcrun -find xcodebuild 2>/dev/null") -- --!isEmpty(QMAKE_XCODEBUILD_PATH) { -- # Make sure Xcode is set up properly -- !system("/usr/bin/xcrun xcodebuild -license check 2>/dev/null"): \ -- error("Xcode not set up properly. You need to confirm the license agreement by running 'sudo xcrun xcodebuild -license accept'.") -- -- isEmpty(QMAKE_XCODE_VERSION) { -- # Extract Xcode version using xcodebuild -- xcode_version = $$system("/usr/bin/xcrun xcodebuild -version") -- QMAKE_XCODE_VERSION = $$member(xcode_version, 1) -- isEmpty(QMAKE_XCODE_VERSION): error("Could not resolve Xcode version.") -- unset(xcode_version) -- } --} -- --isEmpty(QMAKE_TARGET_BUNDLE_PREFIX) { -- QMAKE_XCODE_PREFERENCES_FILE = $$(HOME)/Library/Preferences/com.apple.dt.Xcode.plist -- exists($$QMAKE_XCODE_PREFERENCES_FILE): \ -- QMAKE_TARGET_BUNDLE_PREFIX = $$system("/usr/libexec/PlistBuddy -c 'print IDETemplateOptions:bundleIdentifierPrefix' $$QMAKE_XCODE_PREFERENCES_FILE 2>/dev/null") -- -- !isEmpty(_QMAKE_CACHE_):!isEmpty(QMAKE_TARGET_BUNDLE_PREFIX): \ -- cache(QMAKE_TARGET_BUNDLE_PREFIX) --} -- --QMAKE_ASSET_CATALOGS_APP_ICON = AppIcon -- --# Make the default debug info format for static debug builds --# DWARF instead of DWARF with dSYM. This cuts down build times --# for application debug builds significantly, as Xcode doesn't --# have to pull out all the DWARF info from the Qt static libs --# and put it into a dSYM file. We don't need that dSYM file in --# the first place, since the information is available in the --# object files inside the archives (static libraries). --macx-xcode:qtConfig(static): \ -- QMAKE_XCODE_DEBUG_INFORMATION_FORMAT = dwarf -- --# This variable is used by the xcode_dynamic_library_suffix --# feature, which allows Xcode to choose the Qt libraries to link to --# at build time, depending on the current Xcode SDK and configuration. --QMAKE_XCODE_LIBRARY_SUFFIX_SETTING = QT_LIBRARY_SUFFIX -- --xcode_copy_phase_strip_setting.name = COPY_PHASE_STRIP --xcode_copy_phase_strip_setting.value = NO --QMAKE_MAC_XCODE_SETTINGS += xcode_copy_phase_strip_setting -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/mac/sdk.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/mac/sdk.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/mac/sdk.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/mac/sdk.prf 2019-07-10 09:35:08.917628566 -0500 -@@ -1,54 +1 @@ - --isEmpty(QMAKE_MAC_SDK): \ -- error("QMAKE_MAC_SDK must be set when using CONFIG += sdk.") -- --contains(QMAKE_MAC_SDK, .*/.*): \ -- error("QMAKE_MAC_SDK can only contain short-form SDK names (eg. macosx, iphoneos)") -- --defineReplace(xcodeSDKInfo) { -- info = $$1 -- equals(info, "Path"): \ -- infoarg = --show-sdk-path -- equals(info, "PlatformPath"): \ -- infoarg = --show-sdk-platform-path -- equals(info, "SDKVersion"): \ -- infoarg = --show-sdk-version -- sdk = $$2 -- isEmpty(sdk): \ -- sdk = $$QMAKE_MAC_SDK -- -- isEmpty(QMAKE_MAC_SDK.$${sdk}.$${info}) { -- QMAKE_MAC_SDK.$${sdk}.$${info} = $$system("/usr/bin/xcrun --sdk $$sdk $$infoarg 2>/dev/null") -- # --show-sdk-platform-path won't work for Command Line Tools; this is fine -- # only used by the XCTest backend to testlib -- isEmpty(QMAKE_MAC_SDK.$${sdk}.$${info}):if(!isEmpty(QMAKE_XCODEBUILD_PATH)|!equals(infoarg, "--show-sdk-platform-path")): \ -- error("Could not resolve SDK $$info for \'$$sdk\' using $$infoarg") -- cache(QMAKE_MAC_SDK.$${sdk}.$${info}, set stash, QMAKE_MAC_SDK.$${sdk}.$${info}) -- } -- -- return($$eval(QMAKE_MAC_SDK.$${sdk}.$${info})) --} -- --QMAKE_MAC_SDK_PATH = $$xcodeSDKInfo(Path) --QMAKE_MAC_SDK_PLATFORM_PATH = $$xcodeSDKInfo(PlatformPath) --QMAKE_MAC_SDK_VERSION = $$xcodeSDKInfo(SDKVersion) -- --QMAKESPEC_NAME = $$basename(QMAKESPEC) -- --# Resolve SDK version of various tools --for(tool, $$list(QMAKE_CC QMAKE_CXX QMAKE_FIX_RPATH QMAKE_AR QMAKE_RANLIB QMAKE_LINK QMAKE_LINK_SHLIB QMAKE_ACTOOL QMAKE_LINK_C QMAKE_LINK_C_SHLIB)) { -- tool_variable = QMAKE_MAC_SDK.$${QMAKESPEC_NAME}.$${QMAKE_MAC_SDK}.$${tool} -- !isEmpty($$tool_variable) { -- $$tool = $$eval($$tool_variable) -- next() -- } -- -- value = $$eval($$tool) -- isEmpty(value): next() -- -- sysrooted = $$system("/usr/bin/xcrun -sdk $$QMAKE_MAC_SDK -find $$first(value) 2>/dev/null") -- isEmpty(sysrooted): next() -- -- $$tool = $$sysrooted $$member(value, 1, -1) -- cache($$tool_variable, set stash, $$tool) --} -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qml_module.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qml_module.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qml_module.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qml_module.prf 2019-07-10 09:35:08.917628566 -0500 -@@ -54,7 +54,7 @@ - - qmldir.files = $$qmldir_file - install_qml_files: qmldir.files += $$fq_qml_files --qmldir.path = $$[QT_INSTALL_QML]/$$TARGETPATH -+qmldir.path = $$NIX_OUTPUT_QML/$$TARGETPATH - INSTALLS += qmldir - - qmlfiles.base = $$_PRO_FILE_PWD_ -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qml_plugin.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qml_plugin.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qml_plugin.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qml_plugin.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -50,7 +50,7 @@ - - DESTDIR = $$MODULE_BASE_OUTDIR/qml/$$TARGETPATH - --target.path = $$[QT_INSTALL_QML]/$$TARGETPATH -+target.path = $$NIX_OUTPUT_QML/$$TARGETPATH - INSTALLS += target - - # Some final setup -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_app.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_app.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_app.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_app.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -30,7 +30,7 @@ - target.path = $$[QT_HOST_BINS] - } else { - !build_pass:qtConfig(debug_and_release): CONFIG += release -- target.path = $$[QT_INSTALL_BINS] -+ target.path = $$NIX_OUTPUT_BIN/bin - CONFIG += relative_qt_rpath # Qt's tools and apps should be relocatable - } - INSTALLS += target -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_build_paths.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_build_paths.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_build_paths.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_build_paths.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -24,6 +24,6 @@ - !force_independent { - # If the module is not built independently, everything ends up in qtbase. - # This is the case in non-prefix builds, except for selected modules. -- MODULE_BASE_OUTDIR = $$[QT_HOST_PREFIX] -- MODULE_QMAKE_OUTDIR = $$[QT_HOST_PREFIX] -+ MODULE_BASE_OUTDIR = $$NIX_OUTPUT_OUT -+ MODULE_QMAKE_OUTDIR = $$NIX_OUTPUT_OUT - } -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_common.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_common.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_common.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_common.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -34,8 +34,8 @@ - qqt_libdir = \$\$\$\$[QT_HOST_LIBS] - qt_libdir = $$[QT_HOST_LIBS] - } else { -- qqt_libdir = \$\$\$\$[QT_INSTALL_LIBS] -- qt_libdir = $$[QT_INSTALL_LIBS] -+ qqt_libdir = \$\$\$\$NIX_OUTPUT_OUT/lib -+ qt_libdir = $$NIX_OUTPUT_OUT/lib - } - contains(QMAKE_DEFAULT_LIBDIRS, $$qt_libdir) { - lib_replace.match = "[^ ']*$$rplbase/lib" -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_docs.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_docs.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_docs.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_docs.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -45,7 +45,7 @@ - - QDOC += -outputdir $$shell_quote($$QMAKE_DOCS_OUTPUTDIR) - !build_online_docs: \ -- QDOC += -installdir $$shell_quote($$[QT_INSTALL_DOCS]) -+ QDOC += -installdir $$shell_quote($$NIX_OUTPUT_DOC) - PREP_DOC_INDEXES = - DOC_INDEXES = - !isEmpty(QTREPOS) { -@@ -64,8 +64,8 @@ - DOC_INDEXES += -indexdir $$shell_quote($$qrep/doc) - } else { - prepare_docs: \ -- PREP_DOC_INDEXES += -indexdir $$shell_quote($$[QT_INSTALL_DOCS/get]) -- DOC_INDEXES += -indexdir $$shell_quote($$[QT_INSTALL_DOCS/get]) -+ PREP_DOC_INDEXES += -indexdir $$shell_quote($$NIX_OUTPUT_DOC) -+ DOC_INDEXES += -indexdir $$shell_quote($$NIX_OUTPUT_DOC) - } - - qtattributionsscanner.target = qtattributionsscanner -@@ -88,12 +88,12 @@ - qch_docs.commands = $$QHELPGENERATOR $$shell_quote($$QMAKE_DOCS_OUTPUTDIR/$${QMAKE_DOCS_TARGET}.qhp) -o $$shell_quote($$QMAKE_DOCS_BASE_OUTDIR/$${QMAKE_DOCS_TARGET}.qch) - - inst_html_docs.files = $$QMAKE_DOCS_OUTPUTDIR -- inst_html_docs.path = $$[QT_INSTALL_DOCS] -+ inst_html_docs.path = $$NIX_OUTPUT_DOC - inst_html_docs.CONFIG += no_check_exist directory no_default_install no_build - INSTALLS += inst_html_docs - - inst_qch_docs.files = $$QMAKE_DOCS_BASE_OUTDIR/$${QMAKE_DOCS_TARGET}.qch -- inst_qch_docs.path = $$[QT_INSTALL_DOCS] -+ inst_qch_docs.path = $$NIX_OUTPUT_DOC - inst_qch_docs.CONFIG += no_check_exist no_default_install no_build - INSTALLS += inst_qch_docs - -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_example_installs.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_example_installs.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_example_installs.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_example_installs.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -88,7 +88,7 @@ - $$SOURCES $$HEADERS $$FORMS $$RESOURCES $$TRANSLATIONS \ - $$DBUS_ADAPTORS $$DBUS_INTERFACES - addInstallFiles(sources.files, $$sourcefiles) --sources.path = $$[QT_INSTALL_EXAMPLES]/$$probase -+sources.path = $$NIX_OUTPUT_DEV/share/examples/$$probase - INSTALLS += sources - - check_examples { -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_functions.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_functions.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_functions.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_functions.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -69,7 +69,7 @@ - defineTest(qtPrepareTool) { - cmd = $$eval(QT_TOOL.$${2}.binary) - isEmpty(cmd) { -- cmd = $$[QT_HOST_BINS]/$$2 -+ cmd = $$system("command -v $$2") - exists($${cmd}.pl) { - $${1}_EXE = $${cmd}.pl - cmd = perl -w $$system_path($${cmd}.pl) -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_installs.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_installs.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_installs.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_installs.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -12,16 +12,10 @@ - #library - !qt_no_install_library { - win32 { -- host_build: \ -- dlltarget.path = $$[QT_HOST_BINS] -- else: \ -- dlltarget.path = $$[QT_INSTALL_BINS] -+ dlltarget.path = $$NIX_OUTPUT_BIN/bin - INSTALLS += dlltarget - } -- host_build: \ -- target.path = $$[QT_HOST_LIBS] -- else: \ -- target.path = $$[QT_INSTALL_LIBS] -+ target.path = $$NIX_OUTPUT_OUT/lib - !static: target.CONFIG = no_dll - INSTALLS += target - } -@@ -29,35 +23,35 @@ - #headers - qt_install_headers { - gen_headers.files = $$SYNCQT.GENERATED_HEADER_FILES -- gen_headers.path = $$[QT_INSTALL_HEADERS]/$$MODULE_INCNAME -+ gen_headers.path = $$NIX_OUTPUT_DEV/include/$$MODULE_INCNAME - INSTALLS += gen_headers - - targ_headers.files = $$SYNCQT.HEADER_FILES $$SYNCQT.INJECTED_HEADER_FILES -- targ_headers.path = $$[QT_INSTALL_HEADERS]/$$MODULE_INCNAME -+ targ_headers.path = $$NIX_OUTPUT_DEV/include/$$MODULE_INCNAME - INSTALLS += targ_headers - - private_headers.files = $$SYNCQT.PRIVATE_HEADER_FILES $$SYNCQT.INJECTED_PRIVATE_HEADER_FILES -- private_headers.path = $$[QT_INSTALL_HEADERS]/$$MODULE_INCNAME/$$VERSION/$$MODULE_INCNAME/private -+ private_headers.path = $$NIX_OUTPUT_DEV/include/$$MODULE_INCNAME/$$VERSION/$$MODULE_INCNAME/private - generated_privates: \ - private_headers.CONFIG += no_check_exist - INSTALLS += private_headers - - qpa_headers.files = $$SYNCQT.QPA_HEADER_FILES -- qpa_headers.path = $$[QT_INSTALL_HEADERS]/$$MODULE_INCNAME/$$VERSION/$$MODULE_INCNAME/qpa -+ qpa_headers.path = $$NIX_OUTPUT_DEV/include/$$MODULE_INCNAME/$$VERSION/$$MODULE_INCNAME/qpa - INSTALLS += qpa_headers - } - - #module - qt_install_module { - !isEmpty(MODULE_PRI) { -- pritarget.path = $$[QT_HOST_DATA]/mkspecs/modules -+ pritarget.path = $$NIX_OUTPUT_DEV/mkspecs/modules - pritarget.files = $$MODULE_PRI - INSTALLS += pritarget - } else: isEmpty(MODULE_PRIVATE_PRI) { - warning("Project $$basename(_PRO_FILE_) is a module, but has not defined MODULE_PRI, which is required for Qt to expose the module to other projects.") - } - !isEmpty(MODULE_PRIVATE_PRI) { -- privpritarget.path = $$[QT_HOST_DATA]/mkspecs/modules -+ privpritarget.path = $$NIX_OUTPUT_DEV/mkspecs/modules - privpritarget.files = $$MODULE_PRIVATE_PRI - INSTALLS += privpritarget - } -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_plugin.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_plugin.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_plugin.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_plugin.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -88,7 +88,7 @@ - } - } - --target.path = $$[QT_INSTALL_PLUGINS]/$$PLUGIN_TYPE -+target.path = $$NIX_OUTPUT_PLUGIN/$$PLUGIN_TYPE - INSTALLS += target - - TARGET = $$qt5LibraryTarget($$TARGET) -diff -aur qtbase-everywhere-src-5.12.3-a/src/corelib/kernel/qcoreapplication.cpp qtbase-everywhere-src-5.12.3-b/src/corelib/kernel/qcoreapplication.cpp ---- qtbase-everywhere-src-5.12.3-a/src/corelib/kernel/qcoreapplication.cpp 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/corelib/kernel/qcoreapplication.cpp 2019-07-10 09:35:08.919628625 -0500 -@@ -2668,6 +2668,15 @@ - QStringList *app_libpaths = new QStringList; - coreappdata()->app_libpaths.reset(app_libpaths); - -+ // Add library paths derived from PATH -+ const QStringList paths = QFile::decodeName(qgetenv("PATH")).split(':'); -+ const QString plugindir = QStringLiteral("../" NIXPKGS_QT_PLUGIN_PREFIX); -+ for (const QString &path: paths) { -+ if (!path.isEmpty()) { -+ app_libpaths->append(QDir::cleanPath(path + QDir::separator() + plugindir)); -+ } -+ } -+ - QString libPathEnv = qEnvironmentVariable("QT_PLUGIN_PATH"); - if (!libPathEnv.isEmpty()) { - QStringList paths = libPathEnv.split(QDir::listSeparator(), QString::SkipEmptyParts); -diff -aur qtbase-everywhere-src-5.12.3-a/src/corelib/kernel/qcore_mac_p.h qtbase-everywhere-src-5.12.3-b/src/corelib/kernel/qcore_mac_p.h ---- qtbase-everywhere-src-5.12.3-a/src/corelib/kernel/qcore_mac_p.h 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/corelib/kernel/qcore_mac_p.h 2019-07-10 09:35:08.920628655 -0500 -@@ -212,7 +212,7 @@ - - // -------------------------------------------------------------------------- - --#if !defined(QT_BOOTSTRAPPED) -+#if 0 - - QT_END_NAMESPACE - #include <os/activity.h> -@@ -290,7 +290,19 @@ - - #define QT_APPLE_SCOPED_LOG_ACTIVITY(...) QAppleLogActivity scopedLogActivity = QT_APPLE_LOG_ACTIVITY(__VA_ARGS__).enter(); - --#endif // !defined(QT_BOOTSTRAPPED) -+#else // !defined(QT_BOOTSTRAPPED) -+ -+#define QT_APPLE_LOG_ACTIVITY_WITH_PARENT3(...) -+#define QT_APPLE_LOG_ACTIVITY_WITH_PARENT2(...) -+#define QT_APPLE_LOG_ACTIVITY_WITH_PARENT(...) -+ -+#define QT_APPLE_LOG_ACTIVITY2(...) -+#define QT_APPLE_LOG_ACTIVITY1(...) -+#define QT_APPLE_LOG_ACTIVITY(...) -+ -+#define QT_APPLE_SCOPED_LOG_ACTIVITY(...) -+ -+#endif - - // ------------------------------------------------------------------------- - -Only in qtbase-everywhere-src-5.12.3-b/src/corelib/kernel: qcore_mac_p.h.orig -diff -aur qtbase-everywhere-src-5.12.3-a/src/corelib/Qt5CoreConfigExtras.cmake.in qtbase-everywhere-src-5.12.3-b/src/corelib/Qt5CoreConfigExtras.cmake.in ---- qtbase-everywhere-src-5.12.3-a/src/corelib/Qt5CoreConfigExtras.cmake.in 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/corelib/Qt5CoreConfigExtras.cmake.in 2019-07-10 09:35:08.918628595 -0500 -@@ -3,7 +3,7 @@ - add_executable(Qt5::qmake IMPORTED) - - !!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_BIN_DIR}qmake$$CMAKE_BIN_SUFFIX\") -+ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_BIN_DIR}qmake$$CMAKE_BIN_SUFFIX\") - !!ELSE - set(imported_location \"$${CMAKE_BIN_DIR}qmake$$CMAKE_BIN_SUFFIX\") - !!ENDIF -@@ -18,7 +18,7 @@ - add_executable(Qt5::moc IMPORTED) - - !!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_BIN_DIR}moc$$CMAKE_BIN_SUFFIX\") -+ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_BIN_DIR}moc$$CMAKE_BIN_SUFFIX\") - !!ELSE - set(imported_location \"$${CMAKE_BIN_DIR}moc$$CMAKE_BIN_SUFFIX\") - !!ENDIF -@@ -35,7 +35,7 @@ - add_executable(Qt5::rcc IMPORTED) - - !!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_BIN_DIR}rcc$$CMAKE_BIN_SUFFIX\") -+ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_BIN_DIR}rcc$$CMAKE_BIN_SUFFIX\") - !!ELSE - set(imported_location \"$${CMAKE_BIN_DIR}rcc$$CMAKE_BIN_SUFFIX\") - !!ENDIF -@@ -116,7 +116,7 @@ - !!IF !isEmpty(CMAKE_RELEASE_TYPE) - set_property(TARGET Qt5::WinMain APPEND PROPERTY IMPORTED_CONFIGURATIONS RELEASE) - !!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_RELEASE}\") -+ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_RELEASE}\") - !!ELSE - set(imported_location \"$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_RELEASE}\") - !!ENDIF -@@ -130,7 +130,7 @@ - set_property(TARGET Qt5::WinMain APPEND PROPERTY IMPORTED_CONFIGURATIONS DEBUG) - - !!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_DEBUG}\") -+ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_DEBUG}\") - !!ELSE - set(imported_location \"$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_DEBUG}\") - !!ENDIF -diff -aur qtbase-everywhere-src-5.12.3-a/src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in qtbase-everywhere-src-5.12.3-b/src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in ---- qtbase-everywhere-src-5.12.3-a/src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in 2019-07-10 09:35:08.918628595 -0500 -@@ -1,6 +1,6 @@ - - !!IF isEmpty(CMAKE_HOST_DATA_DIR_IS_ABSOLUTE) --set(_qt5_corelib_extra_includes \"${_qt5Core_install_prefix}/$${CMAKE_HOST_DATA_DIR}/mkspecs/$${CMAKE_MKSPEC}\") -+set(_qt5_corelib_extra_includes \"$$NIX_OUTPUT_DEV/$${CMAKE_HOST_DATA_DIR}/mkspecs/$${CMAKE_MKSPEC}\") - !!ELSE - set(_qt5_corelib_extra_includes \"$${CMAKE_HOST_DATA_DIR}mkspecs/$${CMAKE_MKSPEC}\") - !!ENDIF -diff -aur qtbase-everywhere-src-5.12.3-a/src/corelib/Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in qtbase-everywhere-src-5.12.3-b/src/corelib/Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in ---- qtbase-everywhere-src-5.12.3-a/src/corelib/Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/corelib/Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in 2019-07-10 09:35:08.918628595 -0500 -@@ -1,6 +1,6 @@ - - !!IF isEmpty(CMAKE_INSTALL_DATA_DIR_IS_ABSOLUTE) --set(_qt5_corelib_extra_includes \"${_qt5Core_install_prefix}/$${CMAKE_INSTALL_DATA_DIR}/mkspecs/$${CMAKE_MKSPEC}\") -+set(_qt5_corelib_extra_includes \"$$NIX_OUTPUT_DEV/$${CMAKE_INSTALL_DATA_DIR}/mkspecs/$${CMAKE_MKSPEC}\") - !!ELSE - set(_qt5_corelib_extra_includes \"$${CMAKE_INSTALL_DATA_DIR}mkspecs/$${CMAKE_MKSPEC}\") - !!ENDIF -diff -aur qtbase-everywhere-src-5.12.3-a/src/corelib/tools/qtimezoneprivate_tz.cpp qtbase-everywhere-src-5.12.3-b/src/corelib/tools/qtimezoneprivate_tz.cpp ---- qtbase-everywhere-src-5.12.3-a/src/corelib/tools/qtimezoneprivate_tz.cpp 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/corelib/tools/qtimezoneprivate_tz.cpp 2019-07-10 09:35:08.919628625 -0500 -@@ -70,7 +70,11 @@ - // Parse zone.tab table, assume lists all installed zones, if not will need to read directories - static QTzTimeZoneHash loadTzTimeZones() - { -- QString path = QStringLiteral("/usr/share/zoneinfo/zone.tab"); -+ // Try TZDIR first, in case we're running on NixOS. -+ QString path = QFile::decodeName(qgetenv("TZDIR")) + QStringLiteral("/zone.tab"); -+ // Fallback to traditional paths in case we are not on NixOS. -+ if (!QFile::exists(path)) -+ path = QStringLiteral("/usr/share/zoneinfo/zone.tab"); - if (!QFile::exists(path)) - path = QStringLiteral("/usr/lib/zoneinfo/zone.tab"); - -@@ -644,12 +648,16 @@ - if (!tzif.open(QIODevice::ReadOnly)) - return; - } else { -- // Open named tz, try modern path first, if fails try legacy path -- tzif.setFileName(QLatin1String("/usr/share/zoneinfo/") + QString::fromLocal8Bit(ianaId)); -+ // Try TZDIR first, in case we're running on NixOS -+ tzif.setFileName(QFile::decodeName(qgetenv("TZDIR")) + QStringLiteral("/") + QString::fromLocal8Bit(ianaId)); - if (!tzif.open(QIODevice::ReadOnly)) { -- tzif.setFileName(QLatin1String("/usr/lib/zoneinfo/") + QString::fromLocal8Bit(ianaId)); -- if (!tzif.open(QIODevice::ReadOnly)) -- return; -+ // Open named tz, try modern path first, if fails try legacy path -+ tzif.setFileName(QLatin1String("/usr/share/zoneinfo/") + QString::fromLocal8Bit(ianaId)); -+ if (!tzif.open(QIODevice::ReadOnly)) { -+ tzif.setFileName(QLatin1String("/usr/lib/zoneinfo/") + QString::fromLocal8Bit(ianaId)); -+ if (!tzif.open(QIODevice::ReadOnly)) -+ return; -+ } - } - } - -diff -aur qtbase-everywhere-src-5.12.3-a/src/dbus/Qt5DBusConfigExtras.cmake.in qtbase-everywhere-src-5.12.3-b/src/dbus/Qt5DBusConfigExtras.cmake.in ---- qtbase-everywhere-src-5.12.3-a/src/dbus/Qt5DBusConfigExtras.cmake.in 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/dbus/Qt5DBusConfigExtras.cmake.in 2019-07-10 09:35:08.919628625 -0500 -@@ -2,11 +2,7 @@ - if (NOT TARGET Qt5::qdbuscpp2xml) - add_executable(Qt5::qdbuscpp2xml IMPORTED) - --!!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5DBus_install_prefix}/$${CMAKE_BIN_DIR}qdbuscpp2xml$$CMAKE_BIN_SUFFIX\") --!!ELSE -- set(imported_location \"$${CMAKE_BIN_DIR}qdbuscpp2xml$$CMAKE_BIN_SUFFIX\") --!!ENDIF -+ set(imported_location \"$$NIX_OUTPUT_DEV/bin/qdbuscpp2xml$$CMAKE_BIN_SUFFIX\") - _qt5_DBus_check_file_exists(${imported_location}) - - set_target_properties(Qt5::qdbuscpp2xml PROPERTIES -@@ -17,11 +13,7 @@ - if (NOT TARGET Qt5::qdbusxml2cpp) - add_executable(Qt5::qdbusxml2cpp IMPORTED) - --!!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5DBus_install_prefix}/$${CMAKE_BIN_DIR}qdbusxml2cpp$$CMAKE_BIN_SUFFIX\") --!!ELSE -- set(imported_location \"$${CMAKE_BIN_DIR}qdbusxml2cpp$$CMAKE_BIN_SUFFIX\") --!!ENDIF -+ set(imported_location \"$$NIX_OUTPUT_DEV/bin/qdbusxml2cpp$$CMAKE_BIN_SUFFIX\") - _qt5_DBus_check_file_exists(${imported_location}) - - set_target_properties(Qt5::qdbusxml2cpp PROPERTIES -diff -aur qtbase-everywhere-src-5.12.3-a/src/gui/Qt5GuiConfigExtras.cmake.in qtbase-everywhere-src-5.12.3-b/src/gui/Qt5GuiConfigExtras.cmake.in ---- qtbase-everywhere-src-5.12.3-a/src/gui/Qt5GuiConfigExtras.cmake.in 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/gui/Qt5GuiConfigExtras.cmake.in 2019-07-10 09:35:08.919628625 -0500 -@@ -2,7 +2,7 @@ - !!IF !isEmpty(CMAKE_ANGLE_EGL_DLL_RELEASE) - - !!IF isEmpty(CMAKE_INCLUDE_DIR_IS_ABSOLUTE) --set(Qt5Gui_EGL_INCLUDE_DIRS \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$$CMAKE_INCLUDE_DIR/QtANGLE\") -+set(Qt5Gui_EGL_INCLUDE_DIRS \"$$NIX_OUTPUT_DEV/$$CMAKE_INCLUDE_DIR/QtANGLE\") - !!ELSE - set(Qt5Gui_EGL_INCLUDE_DIRS \"$$CMAKE_INCLUDE_DIR/QtANGLE\") - !!ENDIF -@@ -17,13 +17,13 @@ - set_property(TARGET Qt5::${TargetName} APPEND PROPERTY IMPORTED_CONFIGURATIONS ${Configuration}) - - !!IF isEmpty(CMAKE_DLL_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5Gui_install_prefix}/$${CMAKE_DLL_DIR}${LIB_LOCATION}\") -+ set(imported_location \"$$NIX_OUTPUT_OUT/$${CMAKE_DLL_DIR}${LIB_LOCATION}\") - !!ELSE - set(imported_location \"$${CMAKE_DLL_DIR}${LIB_LOCATION}\") - !!ENDIF - - !!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) -- set(imported_implib \"${_qt5Gui_install_prefix}/$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") -+ set(imported_implib \"$$NIX_OUTPUT_OUT/$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") - !!ELSE - set(imported_implib \"$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") - !!ENDIF -diff -aur qtbase-everywhere-src-5.12.3-a/src/plugins/platforminputcontexts/compose/generator/qtablegenerator.cpp qtbase-everywhere-src-5.12.3-b/src/plugins/platforminputcontexts/compose/generator/qtablegenerator.cpp ---- qtbase-everywhere-src-5.12.3-a/src/plugins/platforminputcontexts/compose/generator/qtablegenerator.cpp 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/plugins/platforminputcontexts/compose/generator/qtablegenerator.cpp 2019-07-10 09:35:08.919628625 -0500 -@@ -265,12 +265,9 @@ - m_possibleLocations.reserve(7); - if (qEnvironmentVariableIsSet("QTCOMPOSE")) - m_possibleLocations.append(QString::fromLocal8Bit(qgetenv("QTCOMPOSE"))); -- m_possibleLocations.append(QStringLiteral("/usr/share/X11/locale")); -- m_possibleLocations.append(QStringLiteral("/usr/local/share/X11/locale")); -- m_possibleLocations.append(QStringLiteral("/usr/lib/X11/locale")); -- m_possibleLocations.append(QStringLiteral("/usr/local/lib/X11/locale")); - m_possibleLocations.append(QStringLiteral(X11_PREFIX "/share/X11/locale")); - m_possibleLocations.append(QStringLiteral(X11_PREFIX "/lib/X11/locale")); -+ m_possibleLocations.append(QLatin1String(NIXPKGS_QTCOMPOSE)); - } - - QString TableGenerator::findComposeFile() -diff -aur qtbase-everywhere-src-5.12.3-a/src/plugins/platforms/xcb/qxcbcursor.cpp qtbase-everywhere-src-5.12.3-b/src/plugins/platforms/xcb/qxcbcursor.cpp ---- qtbase-everywhere-src-5.12.3-a/src/plugins/platforms/xcb/qxcbcursor.cpp 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/plugins/platforms/xcb/qxcbcursor.cpp 2019-07-10 09:35:08.919628625 -0500 -@@ -317,10 +317,10 @@ - #if QT_CONFIG(xcb_xlib) && QT_CONFIG(library) - static bool function_ptrs_not_initialized = true; - if (function_ptrs_not_initialized) { -- QLibrary xcursorLib(QLatin1String("Xcursor"), 1); -+ QLibrary xcursorLib(QLatin1String(NIXPKGS_LIBXCURSOR), 1); - bool xcursorFound = xcursorLib.load(); - if (!xcursorFound) { // try without the version number -- xcursorLib.setFileName(QLatin1String("Xcursor")); -+ xcursorLib.setFileName(QLatin1String(NIXPKGS_LIBXCURSOR)); - xcursorFound = xcursorLib.load(); - } - if (xcursorFound) { -Only in qtbase-everywhere-src-5.12.3-b/src/plugins/platforms/xcb: qxcbcursor.cpp.orig -diff -aur qtbase-everywhere-src-5.12.3-a/src/plugins/platformthemes/gtk3/main.cpp qtbase-everywhere-src-5.12.3-b/src/plugins/platformthemes/gtk3/main.cpp ---- qtbase-everywhere-src-5.12.3-a/src/plugins/platformthemes/gtk3/main.cpp 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/plugins/platformthemes/gtk3/main.cpp 2019-07-10 09:35:08.919628625 -0500 -@@ -39,6 +39,7 @@ - - #include <qpa/qplatformthemeplugin.h> - #include "qgtk3theme.h" -+#include <QFile> - - QT_BEGIN_NAMESPACE - -@@ -54,8 +55,22 @@ - QPlatformTheme *QGtk3ThemePlugin::create(const QString &key, const QStringList ¶ms) - { - Q_UNUSED(params); -- if (!key.compare(QLatin1String(QGtk3Theme::name), Qt::CaseInsensitive)) -+ if (!key.compare(QLatin1String(QGtk3Theme::name), Qt::CaseInsensitive)) { -+ -+#ifdef NIXPKGS_QGTK3_XDG_DATA_DIRS -+ QStringList XDG_DATA_DIRS = QFile::decodeName(qgetenv("XDG_DATA_DIRS")).split(':'); -+ XDG_DATA_DIRS << QLatin1String(NIXPKGS_QGTK3_XDG_DATA_DIRS); -+ qputenv("XDG_DATA_DIRS", QFile::encodeName(XDG_DATA_DIRS.join(':'))); -+#endif -+ -+#ifdef NIXPKGS_QGTK3_GIO_EXTRA_MODULES -+ QStringList GIO_EXTRA_MODULES = QFile::decodeName(qgetenv("GIO_EXTRA_MODULES")).split(':'); -+ GIO_EXTRA_MODULES << QLatin1String(NIXPKGS_QGTK3_GIO_EXTRA_MODULES); -+ qputenv("GIO_EXTRA_MODULES", QFile::encodeName(GIO_EXTRA_MODULES.join(':'))); -+#endif -+ - return new QGtk3Theme; -+ } - - return 0; - } -diff -aur qtbase-everywhere-src-5.12.3-a/src/testlib/qappletestlogger.cpp qtbase-everywhere-src-5.12.3-b/src/testlib/qappletestlogger.cpp ---- qtbase-everywhere-src-5.12.3-a/src/testlib/qappletestlogger.cpp 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/testlib/qappletestlogger.cpp 2019-07-10 09:35:08.920628655 -0500 -@@ -43,7 +43,7 @@ - - QT_BEGIN_NAMESPACE - --#if defined(QT_USE_APPLE_UNIFIED_LOGGING) -+#if defined(QT_USE_APPLE_UNIFIED_LOGGING) && 0 - - using namespace QTestPrivate; - -diff -aur qtbase-everywhere-src-5.12.3-a/src/testlib/qtestassert.h qtbase-everywhere-src-5.12.3-b/src/testlib/qtestassert.h ---- qtbase-everywhere-src-5.12.3-a/src/testlib/qtestassert.h 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/testlib/qtestassert.h 2019-07-10 09:35:08.919628625 -0500 -@@ -44,10 +44,13 @@ - - QT_BEGIN_NAMESPACE - -- -+#if defined(QT_NO_DEBUG) && !defined(QT_FORCE_ASSERTS) -+#define QTEST_ASSERT(cond) do { } while ((false) && (cond)) -+#define QTEST_ASSERT_X(cond, where, what) do { } while ((false) && (cond)) -+#else - #define QTEST_ASSERT(cond) do { if (!(cond)) qt_assert(#cond,__FILE__,__LINE__); } while (false) -- - #define QTEST_ASSERT_X(cond, where, what) do { if (!(cond)) qt_assert_x(where, what,__FILE__,__LINE__); } while (false) -+#endif - - QT_END_NAMESPACE - -diff -aur qtbase-everywhere-src-5.12.3-a/src/widgets/Qt5WidgetsConfigExtras.cmake.in qtbase-everywhere-src-5.12.3-b/src/widgets/Qt5WidgetsConfigExtras.cmake.in ---- qtbase-everywhere-src-5.12.3-a/src/widgets/Qt5WidgetsConfigExtras.cmake.in 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/widgets/Qt5WidgetsConfigExtras.cmake.in 2019-07-10 09:35:08.919628625 -0500 -@@ -3,7 +3,7 @@ - add_executable(Qt5::uic IMPORTED) - - !!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5Widgets_install_prefix}/$${CMAKE_BIN_DIR}uic$$CMAKE_BIN_SUFFIX\") -+ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_BIN_DIR}uic$$CMAKE_BIN_SUFFIX\") - !!ELSE - set(imported_location \"$${CMAKE_BIN_DIR}uic$$CMAKE_BIN_SUFFIX\") - !!ENDIF -diff --git a/mkspecs/features/mac/sdk.mk b/mkspecs/features/mac/sdk.mk -index c40f58c987..e69de29bb2 100644 ---- a/mkspecs/features/mac/sdk.mk -+++ b/mkspecs/features/mac/sdk.mk -@@ -1,25 +0,0 @@ -- --ifeq ($(QT_MAC_SDK_NO_VERSION_CHECK),) -- CHECK_SDK_COMMAND = /usr/bin/xcrun --sdk $(EXPORT_QMAKE_MAC_SDK) -show-sdk-version 2>&1 -- CURRENT_MAC_SDK_VERSION := $(shell DEVELOPER_DIR=$(EXPORT_QMAKE_XCODE_DEVELOPER_PATH) $(CHECK_SDK_COMMAND)) -- ifneq ($(CURRENT_MAC_SDK_VERSION),$(EXPORT_QMAKE_MAC_SDK_VERSION)) -- # We don't want to complain about out of date SDK unless the target needs to be remade. -- # This covers use-cases such as running 'make check' after moving the build to a -- # computer without Xcode or with a different Xcode version. -- TARGET_UP_TO_DATE := $(shell QT_MAC_SDK_NO_VERSION_CHECK=1 $(MAKE) --question $(QMAKE_TARGET) && echo 1 || echo 0) -- ifeq ($(TARGET_UP_TO_DATE),0) -- ifneq ($(findstring missing DEVELOPER_DIR path,$(CURRENT_MAC_SDK_VERSION)),) -- $(info The developer dir $(EXPORT_QMAKE_XCODE_DEVELOPER_PATH) is no longer valid.) -- else ifneq ($(findstring SDK "$(EXPORT_QMAKE_MAC_SDK)" cannot be located,$(CURRENT_MAC_SDK_VERSION)),) -- $(info The developer dir $(EXPORT_QMAKE_XCODE_DEVELOPER_PATH) no longer contains the $(EXPORT_QMAKE_MAC_SDK_VERSION) platform SDK.) -- else ifneq ($(CURRENT_MAC_SDK_VERSION),) -- $(info The platform SDK has been changed from version $(EXPORT_QMAKE_MAC_SDK_VERSION) to version $(CURRENT_MAC_SDK_VERSION).) -- else -- $(info Unknown error resolving current platform SDK version.) -- endif -- $(info This requires a fresh build. Please wipe the build directory completely,) -- $(info including any .qmake.stash and .qmake.cache files generated by qmake.) -- $(error ^) -- endif -- endif --endif diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0001-qtbase-mkspecs-mac.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0001-qtbase-mkspecs-mac.patch new file mode 100644 index 00000000000..de3d68357c7 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0001-qtbase-mkspecs-mac.patch @@ -0,0 +1,397 @@ +From 58d98b66da5d748d610f053053bd12e42c97d9e6 Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:34:00 -0500 +Subject: [PATCH 01/11] qtbase-mkspecs-mac + +--- + mkspecs/common/mac.conf | 2 +- + mkspecs/features/mac/default_post.prf | 196 -------------------------- + mkspecs/features/mac/default_pre.prf | 58 -------- + mkspecs/features/mac/sdk.mk | 25 ---- + mkspecs/features/mac/sdk.prf | 61 -------- + 5 files changed, 1 insertion(+), 341 deletions(-) + +diff --git a/mkspecs/common/mac.conf b/mkspecs/common/mac.conf +index b77494ec..470c38e7 100644 +--- a/mkspecs/common/mac.conf ++++ b/mkspecs/common/mac.conf +@@ -24,7 +24,7 @@ QMAKE_INCDIR_OPENGL = \ + + QMAKE_FIX_RPATH = install_name_tool -id + +-QMAKE_LFLAGS_RPATH = -Wl,-rpath, ++QMAKE_LFLAGS_RPATH = + QMAKE_LFLAGS_GCSECTIONS = -Wl,-dead_strip + + QMAKE_LFLAGS_REL_RPATH = +diff --git a/mkspecs/features/mac/default_post.prf b/mkspecs/features/mac/default_post.prf +index c46222de..18dcfbce 100644 +--- a/mkspecs/features/mac/default_post.prf ++++ b/mkspecs/features/mac/default_post.prf +@@ -64,202 +64,6 @@ qt { + } + } + +-# Add the same default rpaths as Xcode does for new projects. +-# This is especially important for iOS/tvOS/watchOS where no other option is possible. +-!no_default_rpath { +- QMAKE_RPATHDIR += @executable_path/../Frameworks +- equals(TEMPLATE, lib):!plugin:lib_bundle: QMAKE_RPATHDIR += @loader_path/Frameworks +-} +- +-# Don't pass -headerpad_max_install_names when using Bitcode. +-# In that case the linker emits a warning stating that the flag is ignored when +-# used with bitcode, for reasons that cannot be determined (rdar://problem/20748962). +-# Using this flag is also unnecessary in practice on UIKit platforms since they +-# are sandboxed, and only UIKit platforms support bitcode to begin with. +-!bitcode: QMAKE_LFLAGS += $$QMAKE_LFLAGS_HEADERPAD +- +-app_extension_api_only { +- QMAKE_CFLAGS += $$QMAKE_CFLAGS_APPLICATION_EXTENSION +- QMAKE_CXXFLAGS += $$QMAKE_CFLAGS_APPLICATION_EXTENSION +- QMAKE_CXXFLAGS_PRECOMPILE += $$QMAKE_CFLAGS_APPLICATION_EXTENSION +- QMAKE_LFLAGS += $$QMAKE_CFLAGS_APPLICATION_EXTENSION +-} +- +-macx-xcode { +- qmake_pkginfo_typeinfo.name = QMAKE_PKGINFO_TYPEINFO +- !isEmpty(QMAKE_PKGINFO_TYPEINFO): \ +- qmake_pkginfo_typeinfo.value = $$QMAKE_PKGINFO_TYPEINFO +- else: \ +- qmake_pkginfo_typeinfo.value = "????" +- QMAKE_MAC_XCODE_SETTINGS += qmake_pkginfo_typeinfo +- +- !isEmpty(VERSION) { +- l = $$split(VERSION, '.') 0 0 # make sure there are at least three +- VER_MAJ = $$member(l, 0, 0) +- VER_MIN = $$member(l, 1, 1) +- VER_PAT = $$member(l, 2, 2) +- unset(l) +- +- qmake_full_version.name = QMAKE_FULL_VERSION +- qmake_full_version.value = $${VER_MAJ}.$${VER_MIN}.$${VER_PAT} +- QMAKE_MAC_XCODE_SETTINGS += qmake_full_version +- +- qmake_short_version.name = QMAKE_SHORT_VERSION +- qmake_short_version.value = $${VER_MAJ}.$${VER_MIN} +- QMAKE_MAC_XCODE_SETTINGS += qmake_short_version +- } +- +- !isEmpty(QMAKE_XCODE_DEBUG_INFORMATION_FORMAT) { +- debug_information_format.name = DEBUG_INFORMATION_FORMAT +- debug_information_format.value = $$QMAKE_XCODE_DEBUG_INFORMATION_FORMAT +- debug_information_format.build = debug +- QMAKE_MAC_XCODE_SETTINGS += debug_information_format +- } +- +- QMAKE_XCODE_ARCHS = +- +- arch_device.name = "ARCHS[sdk=$${device.sdk}*]" +- arch_device.value = $$QMAKE_APPLE_DEVICE_ARCHS +- QMAKE_XCODE_ARCHS += $$QMAKE_APPLE_DEVICE_ARCHS +- QMAKE_MAC_XCODE_SETTINGS += arch_device +- +- simulator { +- arch_simulator.name = "ARCHS[sdk=$${simulator.sdk}*]" +- arch_simulator.value = $$QMAKE_APPLE_SIMULATOR_ARCHS +- QMAKE_XCODE_ARCHS += $$QMAKE_APPLE_SIMULATOR_ARCHS +- QMAKE_MAC_XCODE_SETTINGS += arch_simulator +- } +- +- only_active_arch.name = ONLY_ACTIVE_ARCH +- only_active_arch.value = YES +- only_active_arch.build = debug +- QMAKE_MAC_XCODE_SETTINGS += only_active_arch +-} else { +- device|!simulator: VALID_DEVICE_ARCHS = $$QMAKE_APPLE_DEVICE_ARCHS +- simulator: VALID_SIMULATOR_ARCHS = $$QMAKE_APPLE_SIMULATOR_ARCHS +- VALID_ARCHS = $$VALID_DEVICE_ARCHS $$VALID_SIMULATOR_ARCHS +- +- isEmpty(VALID_ARCHS): \ +- error("QMAKE_APPLE_DEVICE_ARCHS or QMAKE_APPLE_SIMULATOR_ARCHS must contain at least one architecture") +- +- single_arch: VALID_ARCHS = $$first(VALID_ARCHS) +- +- ACTIVE_ARCHS = $(filter $(EXPORT_VALID_ARCHS), $(ARCHS)) +- ARCH_ARGS = $(foreach arch, $(if $(EXPORT_ACTIVE_ARCHS), $(EXPORT_ACTIVE_ARCHS), $(EXPORT_VALID_ARCHS)), -arch $(arch)) +- +- QMAKE_EXTRA_VARIABLES += VALID_ARCHS ACTIVE_ARCHS ARCH_ARGS +- +- arch_flags = $(EXPORT_ARCH_ARGS) +- +- QMAKE_CFLAGS += $$arch_flags +- QMAKE_CXXFLAGS += $$arch_flags +- QMAKE_LFLAGS += $$arch_flags +- +- QMAKE_PCH_ARCHS = $$VALID_ARCHS +- +- macos: deployment_target = $$QMAKE_MACOSX_DEPLOYMENT_TARGET +- ios: deployment_target = $$QMAKE_IOS_DEPLOYMENT_TARGET +- tvos: deployment_target = $$QMAKE_TVOS_DEPLOYMENT_TARGET +- watchos: deployment_target = $$QMAKE_WATCHOS_DEPLOYMENT_TARGET +- +- # If we're doing a simulator and device build, device and simulator +- # architectures use different paths and flags for the sysroot and +- # deployment target switch, so we must multiplex them across multiple +- # architectures using -Xarch. Otherwise we fall back to the simple path. +- # This is not strictly necessary, but results in cleaner command lines +- # and makes it easier for people to override EXPORT_VALID_ARCHS to limit +- # individual rules to a different set of architecture(s) from the overall +- # build (such as machtest in QtCore). +- simulator:device { +- QMAKE_XARCH_CFLAGS = +- QMAKE_XARCH_LFLAGS = +- QMAKE_EXTRA_VARIABLES += QMAKE_XARCH_CFLAGS QMAKE_XARCH_LFLAGS +- +- for (arch, VALID_ARCHS) { +- contains(VALID_SIMULATOR_ARCHS, $$arch) { +- sdk = $$simulator.sdk +- version_identifier = $$simulator.deployment_identifier +- } else { +- sdk = $$device.sdk +- version_identifier = $$device.deployment_identifier +- } +- +- version_min_flags = \ +- -Xarch_$${arch} \ +- -m$${version_identifier}-version-min=$$deployment_target +- QMAKE_XARCH_CFLAGS_$${arch} = $$version_min_flags \ +- -Xarch_$${arch} \ +- -isysroot$$xcodeSDKInfo(Path, $$sdk) +- QMAKE_XARCH_LFLAGS_$${arch} = $$version_min_flags \ +- -Xarch_$${arch} \ +- -Wl,-syslibroot,$$xcodeSDKInfo(Path, $$sdk) +- +- QMAKE_XARCH_CFLAGS += $(EXPORT_QMAKE_XARCH_CFLAGS_$${arch}) +- QMAKE_XARCH_LFLAGS += $(EXPORT_QMAKE_XARCH_LFLAGS_$${arch}) +- +- QMAKE_EXTRA_VARIABLES += \ +- QMAKE_XARCH_CFLAGS_$${arch} \ +- QMAKE_XARCH_LFLAGS_$${arch} +- } +- +- QMAKE_CFLAGS += $(EXPORT_QMAKE_XARCH_CFLAGS) +- QMAKE_CXXFLAGS += $(EXPORT_QMAKE_XARCH_CFLAGS) +- QMAKE_LFLAGS += $(EXPORT_QMAKE_XARCH_LFLAGS) +- } else { +- simulator: \ +- version_identifier = $$simulator.deployment_identifier +- else: \ +- version_identifier = $$device.deployment_identifier +- version_min_flag = -m$${version_identifier}-version-min=$$deployment_target +- QMAKE_CFLAGS += -isysroot $$QMAKE_MAC_SDK_PATH $$version_min_flag +- QMAKE_CXXFLAGS += -isysroot $$QMAKE_MAC_SDK_PATH $$version_min_flag +- QMAKE_LFLAGS += -Wl,-syslibroot,$$QMAKE_MAC_SDK_PATH $$version_min_flag +- } +- +- # Enable precompiled headers for multiple architectures +- QMAKE_CFLAGS_USE_PRECOMPILE = +- for (arch, VALID_ARCHS) { +- icc_pch_style: \ +- use_flag = "-pch-use " +- else: \ +- use_flag = -include +- +- # Only use Xarch with multi-arch, as the option confuses ccache +- count(VALID_ARCHS, 1, greaterThan): \ +- QMAKE_CFLAGS_USE_PRECOMPILE += \ +- -Xarch_$${arch} +- +- QMAKE_CFLAGS_USE_PRECOMPILE += \ +- $${use_flag}${QMAKE_PCH_OUTPUT_$${arch}} +- } +- icc_pch_style { +- QMAKE_CXXFLAGS_USE_PRECOMPILE = $$QMAKE_CFLAGS_USE_PRECOMPILE -include ${QMAKE_PCH_INPUT} +- QMAKE_CFLAGS_USE_PRECOMPILE = +- } else { +- QMAKE_CXXFLAGS_USE_PRECOMPILE = $$QMAKE_CFLAGS_USE_PRECOMPILE +- QMAKE_OBJCFLAGS_USE_PRECOMPILE = $$QMAKE_CFLAGS_USE_PRECOMPILE +- QMAKE_OBJCXXFLAGS_USE_PRECOMPILE = $$QMAKE_CFLAGS_USE_PRECOMPILE +- } +- +- QMAKE_PCH_OUTPUT_EXT = _${QMAKE_PCH_ARCH}$${QMAKE_PCH_OUTPUT_EXT} +-} +- +-cache(QMAKE_XCODE_DEVELOPER_PATH, stash) +-!isEmpty(QMAKE_XCODE_VERSION): \ +- cache(QMAKE_XCODE_VERSION, stash) +- +-QMAKE_XCODE_LIBRARY_SUFFIX = $$qtPlatformTargetSuffix() +- +-xcode_product_bundle_identifier_setting.name = PRODUCT_BUNDLE_IDENTIFIER +-xcode_product_bundle_identifier_setting.value = $$QMAKE_TARGET_BUNDLE_PREFIX +-isEmpty(xcode_product_bundle_identifier_setting.value): \ +- xcode_product_bundle_identifier_setting.value = "com.yourcompany" +-xcode_product_bundle_target = $$QMAKE_BUNDLE +-isEmpty(xcode_product_bundle_target): \ +- xcode_product_bundle_target = ${PRODUCT_NAME:rfc1034identifier} +-xcode_product_bundle_identifier_setting.value = "$${xcode_product_bundle_identifier_setting.value}.$${xcode_product_bundle_target}" +-QMAKE_MAC_XCODE_SETTINGS += xcode_product_bundle_identifier_setting +- + !macx-xcode { + generate_xcode_project.commands = @$(QMAKE) -spec macx-xcode $(EXPORT__PRO_FILE_) + generate_xcode_project.target = xcodeproj +diff --git a/mkspecs/features/mac/default_pre.prf b/mkspecs/features/mac/default_pre.prf +index e3534561..3b01424e 100644 +--- a/mkspecs/features/mac/default_pre.prf ++++ b/mkspecs/features/mac/default_pre.prf +@@ -1,60 +1,2 @@ + CONFIG = asset_catalogs rez $$CONFIG + load(default_pre) +- +-isEmpty(QMAKE_XCODE_DEVELOPER_PATH) { +- # Get path of Xcode's Developer directory +- QMAKE_XCODE_DEVELOPER_PATH = $$system("/usr/bin/xcode-select --print-path 2>/dev/null") +- isEmpty(QMAKE_XCODE_DEVELOPER_PATH): \ +- error("Xcode path is not set. Please use xcode-select to choose Xcode installation path.") +- +- # Make sure Xcode path is valid +- !exists($$QMAKE_XCODE_DEVELOPER_PATH): \ +- error("Xcode is not installed in $${QMAKE_XCODE_DEVELOPER_PATH}. Please use xcode-select to choose Xcode installation path.") +-} +- +-isEmpty(QMAKE_XCODEBUILD_PATH): \ +- QMAKE_XCODEBUILD_PATH = $$system("/usr/bin/xcrun -find xcodebuild 2>/dev/null") +- +-!isEmpty(QMAKE_XCODEBUILD_PATH) { +- # Make sure Xcode is set up properly +- !system("/usr/bin/xcrun xcodebuild -license check 2>/dev/null"): \ +- error("Xcode not set up properly. You need to confirm the license agreement by running 'sudo xcrun xcodebuild -license accept'.") +- +- isEmpty(QMAKE_XCODE_VERSION) { +- # Extract Xcode version using xcodebuild +- xcode_version = $$system("/usr/bin/xcrun xcodebuild -version") +- QMAKE_XCODE_VERSION = $$member(xcode_version, 1) +- isEmpty(QMAKE_XCODE_VERSION): error("Could not resolve Xcode version.") +- unset(xcode_version) +- } +-} +- +-isEmpty(QMAKE_TARGET_BUNDLE_PREFIX) { +- QMAKE_XCODE_PREFERENCES_FILE = $$(HOME)/Library/Preferences/com.apple.dt.Xcode.plist +- exists($$QMAKE_XCODE_PREFERENCES_FILE): \ +- QMAKE_TARGET_BUNDLE_PREFIX = $$system("/usr/libexec/PlistBuddy -c 'print IDETemplateOptions:bundleIdentifierPrefix' $$QMAKE_XCODE_PREFERENCES_FILE 2>/dev/null") +- +- !isEmpty(_QMAKE_CACHE_):!isEmpty(QMAKE_TARGET_BUNDLE_PREFIX): \ +- cache(QMAKE_TARGET_BUNDLE_PREFIX) +-} +- +-QMAKE_ASSET_CATALOGS_APP_ICON = AppIcon +- +-# Make the default debug info format for static debug builds +-# DWARF instead of DWARF with dSYM. This cuts down build times +-# for application debug builds significantly, as Xcode doesn't +-# have to pull out all the DWARF info from the Qt static libs +-# and put it into a dSYM file. We don't need that dSYM file in +-# the first place, since the information is available in the +-# object files inside the archives (static libraries). +-macx-xcode:qtConfig(static): \ +- QMAKE_XCODE_DEBUG_INFORMATION_FORMAT = dwarf +- +-# This variable is used by the xcode_dynamic_library_suffix +-# feature, which allows Xcode to choose the Qt libraries to link to +-# at build time, depending on the current Xcode SDK and configuration. +-QMAKE_XCODE_LIBRARY_SUFFIX_SETTING = QT_LIBRARY_SUFFIX +- +-xcode_copy_phase_strip_setting.name = COPY_PHASE_STRIP +-xcode_copy_phase_strip_setting.value = NO +-QMAKE_MAC_XCODE_SETTINGS += xcode_copy_phase_strip_setting +diff --git a/mkspecs/features/mac/sdk.mk b/mkspecs/features/mac/sdk.mk +index c40f58c9..e69de29b 100644 +--- a/mkspecs/features/mac/sdk.mk ++++ b/mkspecs/features/mac/sdk.mk +@@ -1,25 +0,0 @@ +- +-ifeq ($(QT_MAC_SDK_NO_VERSION_CHECK),) +- CHECK_SDK_COMMAND = /usr/bin/xcrun --sdk $(EXPORT_QMAKE_MAC_SDK) -show-sdk-version 2>&1 +- CURRENT_MAC_SDK_VERSION := $(shell DEVELOPER_DIR=$(EXPORT_QMAKE_XCODE_DEVELOPER_PATH) $(CHECK_SDK_COMMAND)) +- ifneq ($(CURRENT_MAC_SDK_VERSION),$(EXPORT_QMAKE_MAC_SDK_VERSION)) +- # We don't want to complain about out of date SDK unless the target needs to be remade. +- # This covers use-cases such as running 'make check' after moving the build to a +- # computer without Xcode or with a different Xcode version. +- TARGET_UP_TO_DATE := $(shell QT_MAC_SDK_NO_VERSION_CHECK=1 $(MAKE) --question $(QMAKE_TARGET) && echo 1 || echo 0) +- ifeq ($(TARGET_UP_TO_DATE),0) +- ifneq ($(findstring missing DEVELOPER_DIR path,$(CURRENT_MAC_SDK_VERSION)),) +- $(info The developer dir $(EXPORT_QMAKE_XCODE_DEVELOPER_PATH) is no longer valid.) +- else ifneq ($(findstring SDK "$(EXPORT_QMAKE_MAC_SDK)" cannot be located,$(CURRENT_MAC_SDK_VERSION)),) +- $(info The developer dir $(EXPORT_QMAKE_XCODE_DEVELOPER_PATH) no longer contains the $(EXPORT_QMAKE_MAC_SDK_VERSION) platform SDK.) +- else ifneq ($(CURRENT_MAC_SDK_VERSION),) +- $(info The platform SDK has been changed from version $(EXPORT_QMAKE_MAC_SDK_VERSION) to version $(CURRENT_MAC_SDK_VERSION).) +- else +- $(info Unknown error resolving current platform SDK version.) +- endif +- $(info This requires a fresh build. Please wipe the build directory completely,) +- $(info including any .qmake.stash and .qmake.cache files generated by qmake.) +- $(error ^) +- endif +- endif +-endif +diff --git a/mkspecs/features/mac/sdk.prf b/mkspecs/features/mac/sdk.prf +index 3a9c2778..e69de29b 100644 +--- a/mkspecs/features/mac/sdk.prf ++++ b/mkspecs/features/mac/sdk.prf +@@ -1,61 +0,0 @@ +- +-isEmpty(QMAKE_MAC_SDK): \ +- error("QMAKE_MAC_SDK must be set when using CONFIG += sdk.") +- +-contains(QMAKE_MAC_SDK, .*/.*): \ +- error("QMAKE_MAC_SDK can only contain short-form SDK names (eg. macosx, iphoneos)") +- +-defineReplace(xcodeSDKInfo) { +- info = $$1 +- equals(info, "Path"): \ +- infoarg = --show-sdk-path +- equals(info, "PlatformPath"): \ +- infoarg = --show-sdk-platform-path +- equals(info, "SDKVersion"): \ +- infoarg = --show-sdk-version +- sdk = $$2 +- isEmpty(sdk): \ +- sdk = $$QMAKE_MAC_SDK +- +- isEmpty(QMAKE_MAC_SDK.$${sdk}.$${info}) { +- QMAKE_MAC_SDK.$${sdk}.$${info} = $$system("/usr/bin/xcrun --sdk $$sdk $$infoarg 2>/dev/null") +- # --show-sdk-platform-path won't work for Command Line Tools; this is fine +- # only used by the XCTest backend to testlib +- isEmpty(QMAKE_MAC_SDK.$${sdk}.$${info}):if(!isEmpty(QMAKE_XCODEBUILD_PATH)|!equals(infoarg, "--show-sdk-platform-path")): \ +- error("Could not resolve SDK $$info for \'$$sdk\' using $$infoarg") +- cache(QMAKE_MAC_SDK.$${sdk}.$${info}, set stash, QMAKE_MAC_SDK.$${sdk}.$${info}) +- } +- +- return($$eval(QMAKE_MAC_SDK.$${sdk}.$${info})) +-} +- +-QMAKE_MAC_SDK_PATH = $$xcodeSDKInfo(Path) +-QMAKE_MAC_SDK_PLATFORM_PATH = $$xcodeSDKInfo(PlatformPath) +-QMAKE_MAC_SDK_VERSION = $$xcodeSDKInfo(SDKVersion) +- +-isEmpty(QMAKE_EXPORT_INCDIR_OPENGL) { +- QMAKE_EXPORT_INCDIR_OPENGL = $$QMAKE_INCDIR_OPENGL +- sysrootified = +- for(val, QMAKE_INCDIR_OPENGL): sysrootified += $${QMAKE_MAC_SDK_PATH}$$val +- QMAKE_INCDIR_OPENGL = $$sysrootified +-} +- +-QMAKESPEC_NAME = $$basename(QMAKESPEC) +- +-# Resolve SDK version of various tools +-for(tool, $$list(QMAKE_CC QMAKE_CXX QMAKE_FIX_RPATH QMAKE_AR QMAKE_RANLIB QMAKE_LINK QMAKE_LINK_SHLIB QMAKE_ACTOOL QMAKE_LINK_C QMAKE_LINK_C_SHLIB)) { +- tool_variable = QMAKE_MAC_SDK.$${QMAKESPEC_NAME}.$${QMAKE_MAC_SDK}.$${tool} +- !isEmpty($$tool_variable) { +- $$tool = $$eval($$tool_variable) +- next() +- } +- +- value = $$eval($$tool) +- isEmpty(value): next() +- +- sysrooted = $$system("/usr/bin/xcrun -sdk $$QMAKE_MAC_SDK -find $$first(value) 2>/dev/null") +- isEmpty(sysrooted): next() +- +- $$tool = $$sysrooted $$member(value, 1, -1) +- cache($$tool_variable, set stash, $$tool) +-} +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0002-qtbase-mac.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0002-qtbase-mac.patch new file mode 100644 index 00000000000..301ac67d8d0 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0002-qtbase-mac.patch @@ -0,0 +1,116 @@ +From 203c9338dc92c2c36007cfe6633387348976637e Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:37:15 -0500 +Subject: [PATCH 02/11] qtbase-mac + +--- + src/corelib/kernel/qcore_mac_p.h | 16 ++++++++++++++-- + src/testlib/qappletestlogger.cpp | 2 +- + src/testlib/qappletestlogger_p.h | 2 +- + src/testlib/qtestcase.cpp | 2 +- + src/testlib/qtestlog.cpp | 2 +- + src/testlib/qtestlog_p.h | 2 +- + 6 files changed, 19 insertions(+), 7 deletions(-) + +diff --git a/src/corelib/kernel/qcore_mac_p.h b/src/corelib/kernel/qcore_mac_p.h +index f96e7358..650946b7 100644 +--- a/src/corelib/kernel/qcore_mac_p.h ++++ b/src/corelib/kernel/qcore_mac_p.h +@@ -212,7 +212,7 @@ private: + + // -------------------------------------------------------------------------- + +-#if !defined(QT_BOOTSTRAPPED) ++#if 0 + + QT_END_NAMESPACE + #include <os/activity.h> +@@ -290,7 +290,19 @@ QT_MAC_WEAK_IMPORT(_os_activity_current); + + #define QT_APPLE_SCOPED_LOG_ACTIVITY(...) QAppleLogActivity scopedLogActivity = QT_APPLE_LOG_ACTIVITY(__VA_ARGS__).enter(); + +-#endif // !defined(QT_BOOTSTRAPPED) ++#else // !defined(QT_BOOTSTRAPPED) ++ ++#define QT_APPLE_LOG_ACTIVITY_WITH_PARENT3(...) ++#define QT_APPLE_LOG_ACTIVITY_WITH_PARENT2(...) ++#define QT_APPLE_LOG_ACTIVITY_WITH_PARENT(...) ++ ++#define QT_APPLE_LOG_ACTIVITY2(...) ++#define QT_APPLE_LOG_ACTIVITY1(...) ++#define QT_APPLE_LOG_ACTIVITY(...) ++ ++#define QT_APPLE_SCOPED_LOG_ACTIVITY(...) ++ ++#endif + + // ------------------------------------------------------------------------- + +diff --git a/src/testlib/qappletestlogger.cpp b/src/testlib/qappletestlogger.cpp +index dfeadebd..2a74330c 100644 +--- a/src/testlib/qappletestlogger.cpp ++++ b/src/testlib/qappletestlogger.cpp +@@ -43,7 +43,7 @@ + + QT_BEGIN_NAMESPACE + +-#if defined(QT_USE_APPLE_UNIFIED_LOGGING) ++#if defined(QT_USE_APPLE_UNIFIED_LOGGING) && 0 + + using namespace QTestPrivate; + +diff --git a/src/testlib/qappletestlogger_p.h b/src/testlib/qappletestlogger_p.h +index 62c6d95c..f8e0a3b7 100644 +--- a/src/testlib/qappletestlogger_p.h ++++ b/src/testlib/qappletestlogger_p.h +@@ -57,7 +57,7 @@ + + QT_BEGIN_NAMESPACE + +-#if defined(QT_USE_APPLE_UNIFIED_LOGGING) ++#if defined(QT_USE_APPLE_UNIFIED_LOGGING) && 0 + class QAppleTestLogger : public QAbstractTestLogger + { + public: +diff --git a/src/testlib/qtestcase.cpp b/src/testlib/qtestcase.cpp +index 0c935a1f..22f2d75d 100644 +--- a/src/testlib/qtestcase.cpp ++++ b/src/testlib/qtestcase.cpp +@@ -850,7 +850,7 @@ Q_TESTLIB_EXPORT void qtest_qParseArgs(int argc, char *argv[], bool qml) + + bool addFallbackLogger = !explicitLoggerRequested; + +-#if defined(QT_USE_APPLE_UNIFIED_LOGGING) ++#if defined(QT_USE_APPLE_UNIFIED_LOGGING) && 0 + // Any explicitly requested loggers will be added by now, so we can check if they use stdout + const bool safeToAddAppleLogger = !AppleUnifiedLogger::willMirrorToStderr() || !QTestLog::loggerUsingStdout(); + if (safeToAddAppleLogger && QAppleTestLogger::debugLoggingEnabled()) { +diff --git a/src/testlib/qtestlog.cpp b/src/testlib/qtestlog.cpp +index 57bb7d95..33fb8162 100644 +--- a/src/testlib/qtestlog.cpp ++++ b/src/testlib/qtestlog.cpp +@@ -460,7 +460,7 @@ void QTestLog::addLogger(LogMode mode, const char *filename) + case QTestLog::TAP: + logger = new QTapTestLogger(filename); + break; +-#if defined(QT_USE_APPLE_UNIFIED_LOGGING) ++#if defined(QT_USE_APPLE_UNIFIED_LOGGING) && 0 + case QTestLog::Apple: + logger = new QAppleTestLogger; + break; +diff --git a/src/testlib/qtestlog_p.h b/src/testlib/qtestlog_p.h +index e63e89a7..213b6945 100644 +--- a/src/testlib/qtestlog_p.h ++++ b/src/testlib/qtestlog_p.h +@@ -68,7 +68,7 @@ class Q_TESTLIB_EXPORT QTestLog + public: + enum LogMode { + Plain = 0, XML, LightXML, XunitXML, CSV, TeamCity, TAP +-#if defined(QT_USE_APPLE_UNIFIED_LOGGING) ++#if defined(QT_USE_APPLE_UNIFIED_LOGGING) && 0 + , Apple + #endif + #if defined(HAVE_XCTEST) +-- +2.23.0 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0003-qtbase-mkspecs.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0003-qtbase-mkspecs.patch new file mode 100644 index 00000000000..3fabe071836 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0003-qtbase-mkspecs.patch @@ -0,0 +1,465 @@ +From 5ff996d9028c0f54939ca7c54d358cd7503ab1ae Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Wed, 18 Sep 2019 05:39:39 -0500 +Subject: [PATCH 03/11] qtbase-mkspecs + +--- + mkspecs/features/create_cmake.prf | 53 ++++-------- + .../data/cmake/Qt5BasicConfig.cmake.in | 80 +------------------ + mkspecs/features/qml_module.prf | 2 +- + mkspecs/features/qml_plugin.prf | 2 +- + mkspecs/features/qt_app.prf | 2 +- + mkspecs/features/qt_build_paths.prf | 4 +- + mkspecs/features/qt_docs.prf | 10 +-- + mkspecs/features/qt_example_installs.prf | 2 +- + mkspecs/features/qt_functions.prf | 2 +- + mkspecs/features/qt_installs.prf | 22 ++--- + mkspecs/features/qt_plugin.prf | 2 +- + 11 files changed, 39 insertions(+), 142 deletions(-) + +diff --git a/mkspecs/features/create_cmake.prf b/mkspecs/features/create_cmake.prf +index c9910dda..e9bc8076 100644 +--- a/mkspecs/features/create_cmake.prf ++++ b/mkspecs/features/create_cmake.prf +@@ -21,7 +21,7 @@ load(cmake_functions) + # at cmake time whether package has been found via a symlink, and correct + # that to an absolute path. This is only done for installations to + # the /usr or / prefix. +-CMAKE_INSTALL_LIBS_DIR = $$cmakeTargetPath($$[QT_INSTALL_LIBS]) ++CMAKE_INSTALL_LIBS_DIR = $$cmakeTargetPath($$NIX_OUTPUT_OUT/lib/) + contains(CMAKE_INSTALL_LIBS_DIR, ^(/usr)?/lib(64)?.*): CMAKE_USR_MOVE_WORKAROUND = $$CMAKE_INSTALL_LIBS_DIR + + CMAKE_OUT_DIR = $$MODULE_BASE_OUTDIR/lib/cmake +@@ -60,45 +60,20 @@ split_incpath { + $$cmake_extra_source_includes.output + } + +-CMAKE_INCLUDE_DIR = $$cmakeRelativePath($$[QT_INSTALL_HEADERS], $$[QT_INSTALL_PREFIX]) +-contains(CMAKE_INCLUDE_DIR, "^\\.\\./.*") { +- CMAKE_INCLUDE_DIR = $$[QT_INSTALL_HEADERS]/ +- CMAKE_INCLUDE_DIR_IS_ABSOLUTE = True +-} ++CMAKE_INCLUDE_DIR = $$NIX_OUTPUT_DEV/include/ ++CMAKE_INCLUDE_DIR_IS_ABSOLUTE = True + +-CMAKE_LIB_DIR = $$cmakeRelativePath($$[QT_INSTALL_LIBS], $$[QT_INSTALL_PREFIX]) +-contains(CMAKE_LIB_DIR,"^\\.\\./.*") { +- CMAKE_LIB_DIR = $$[QT_INSTALL_LIBS]/ +- CMAKE_LIB_DIR_IS_ABSOLUTE = True +-} else { +- CMAKE_RELATIVE_INSTALL_LIBS_DIR = $$cmakeRelativePath($$[QT_INSTALL_PREFIX], $$[QT_INSTALL_LIBS]) +- # We need to go up another two levels because the CMake files are +- # installed in $${CMAKE_LIB_DIR}/cmake/Qt5$${CMAKE_MODULE_NAME} +- CMAKE_RELATIVE_INSTALL_DIR = "$${CMAKE_RELATIVE_INSTALL_LIBS_DIR}../../" +-} ++CMAKE_BIN_DIR = $$NIX_OUTPUT_BIN/bin/ ++CMAKE_BIN_DIR_IS_ABSOLUTE = True + +-CMAKE_BIN_DIR = $$cmakeRelativePath($$[QT_HOST_BINS], $$[QT_INSTALL_PREFIX]) +-contains(CMAKE_BIN_DIR, "^\\.\\./.*") { +- CMAKE_BIN_DIR = $$[QT_HOST_BINS]/ +- CMAKE_BIN_DIR_IS_ABSOLUTE = True +-} ++CMAKE_LIB_DIR = $$NIX_OUTPUT_OUT/lib/ ++CMAKE_LIB_DIR_IS_ABSOLUTE = True + +-CMAKE_PLUGIN_DIR = $$cmakeRelativePath($$[QT_INSTALL_PLUGINS], $$[QT_INSTALL_PREFIX]) +-contains(CMAKE_PLUGIN_DIR, "^\\.\\./.*") { +- CMAKE_PLUGIN_DIR = $$[QT_INSTALL_PLUGINS]/ +- CMAKE_PLUGIN_DIR_IS_ABSOLUTE = True +-} +- +-win32:!static:!staticlib { +- CMAKE_DLL_DIR = $$cmakeRelativePath($$[QT_INSTALL_BINS], $$[QT_INSTALL_PREFIX]) +- contains(CMAKE_DLL_DIR, "^\\.\\./.*") { +- CMAKE_DLL_DIR = $$[QT_INSTALL_BINS]/ +- CMAKE_DLL_DIR_IS_ABSOLUTE = True +- } +-} else { +- CMAKE_DLL_DIR = $$CMAKE_LIB_DIR +- CMAKE_DLL_DIR_IS_ABSOLUTE = $$CMAKE_LIB_DIR_IS_ABSOLUTE +-} ++CMAKE_PLUGIN_DIR = $$NIX_OUTPUT_PLUGIN/ ++CMAKE_PLUGIN_DIR_IS_ABSOLUTE = True ++ ++CMAKE_DLL_DIR = $$NIX_OUTPUT_OUT/lib/ ++CMAKE_DLL_DIR_IS_ABSOLUTE = True + + static|staticlib:CMAKE_STATIC_TYPE = true + +@@ -178,7 +153,7 @@ contains(CONFIG, plugin) { + cmake_target_file + + cmake_qt5_plugin_file.files = $$cmake_target_file.output +- cmake_qt5_plugin_file.path = $$[QT_INSTALL_LIBS]/cmake/Qt5$${CMAKE_MODULE_NAME} ++ cmake_qt5_plugin_file.path = $$NIX_OUTPUT_OUT/lib/cmake/Qt5$${CMAKE_MODULE_NAME} + INSTALLS += cmake_qt5_plugin_file + + return() +@@ -323,7 +298,7 @@ exists($$cmake_macros_file.input) { + cmake_qt5_module_files.files += $$cmake_macros_file.output + } + +-cmake_qt5_module_files.path = $$[QT_INSTALL_LIBS]/cmake/Qt5$${CMAKE_MODULE_NAME} ++cmake_qt5_module_files.path = $$NIX_OUTPUT_OUT/lib/cmake/Qt5$${CMAKE_MODULE_NAME} + + # We are generating cmake files. Most developers of Qt are not aware of cmake, + # so we require automatic tests to be available. The only module which should +diff --git a/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in b/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in +index c7298928..c60ef16e 100644 +--- a/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in ++++ b/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in +@@ -3,30 +3,6 @@ if (CMAKE_VERSION VERSION_LESS 3.1.0) + message(FATAL_ERROR \"Qt 5 $${CMAKE_MODULE_NAME} module requires at least CMake version 3.1.0\") + endif() + +-!!IF !isEmpty(CMAKE_USR_MOVE_WORKAROUND) +-!!IF !isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +-set(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"$$[QT_INSTALL_PREFIX]\") +-!!ELSE +-get_filename_component(_IMPORT_PREFIX \"${CMAKE_CURRENT_LIST_FILE}\" PATH) +-# Use original install prefix when loaded through a +-# cross-prefix symbolic link such as /lib -> /usr/lib. +-get_filename_component(_realCurr \"${_IMPORT_PREFIX}\" REALPATH) +-get_filename_component(_realOrig \"$$CMAKE_INSTALL_LIBS_DIR/cmake/Qt5$${CMAKE_MODULE_NAME}\" REALPATH) +-if(_realCurr STREQUAL _realOrig) +- get_filename_component(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"$$CMAKE_INSTALL_LIBS_DIR/$${CMAKE_RELATIVE_INSTALL_LIBS_DIR}\" ABSOLUTE) +-else() +- get_filename_component(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"${CMAKE_CURRENT_LIST_DIR}/$${CMAKE_RELATIVE_INSTALL_DIR}\" ABSOLUTE) +-endif() +-unset(_realOrig) +-unset(_realCurr) +-unset(_IMPORT_PREFIX) +-!!ENDIF +-!!ELIF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +-get_filename_component(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"${CMAKE_CURRENT_LIST_DIR}/$${CMAKE_RELATIVE_INSTALL_DIR}\" ABSOLUTE) +-!!ELSE +-set(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"$$[QT_INSTALL_PREFIX]\") +-!!ENDIF +- + !!IF !equals(TEMPLATE, aux) + # For backwards compatibility only. Use Qt5$${CMAKE_MODULE_NAME}_VERSION instead. + set(Qt5$${CMAKE_MODULE_NAME}_VERSION_STRING "$$eval(QT.$${MODULE}.VERSION)") +@@ -52,11 +28,7 @@ endmacro() + macro(_populate_$${CMAKE_MODULE_NAME}_target_properties Configuration LIB_LOCATION IMPLIB_LOCATION) + set_property(TARGET Qt5::$${CMAKE_MODULE_NAME} APPEND PROPERTY IMPORTED_CONFIGURATIONS ${Configuration}) + +-!!IF isEmpty(CMAKE_DLL_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_DLL_DIR}${LIB_LOCATION}\") +-!!ELSE + set(imported_location \"$${CMAKE_DLL_DIR}${LIB_LOCATION}\") +-!!ENDIF + _qt5_$${CMAKE_MODULE_NAME}_check_file_exists(${imported_location}) + set_target_properties(Qt5::$${CMAKE_MODULE_NAME} PROPERTIES + \"INTERFACE_LINK_LIBRARIES\" \"${_Qt5$${CMAKE_MODULE_NAME}_LIB_DEPENDENCIES}\" +@@ -69,11 +41,7 @@ macro(_populate_$${CMAKE_MODULE_NAME}_target_properties Configuration LIB_LOCATI + ) + + !!IF !isEmpty(CMAKE_WINDOWS_BUILD) +-!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +- set(imported_implib \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") +-!!ELSE + set(imported_implib \"IMPORTED_IMPLIB_${Configuration}\" \"$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") +-!!ENDIF + _qt5_$${CMAKE_MODULE_NAME}_check_file_exists(${imported_implib}) + if(NOT \"${IMPLIB_LOCATION}\" STREQUAL \"\") + set_target_properties(Qt5::$${CMAKE_MODULE_NAME} PROPERTIES +@@ -89,24 +57,13 @@ if (NOT TARGET Qt5::$${CMAKE_MODULE_NAME}) + !!IF !no_module_headers + !!IF !isEmpty(CMAKE_BUILD_IS_FRAMEWORK) + set(_Qt5$${CMAKE_MODULE_NAME}_OWN_INCLUDE_DIRS +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_QT_STEM}.framework\" +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_QT_STEM}.framework/Headers\" ++ \"$${CMAKE_LIB_DIR}$${CMAKE_QT_STEM}.framework\" ++ \"$${CMAKE_LIB_DIR}$${CMAKE_QT_STEM}.framework/Headers\" + ) + !!IF isEmpty(CMAKE_NO_PRIVATE_INCLUDES) + set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_QT_STEM}.framework/Versions/$$section(VERSION, ., 0, 0)/Headers/$$VERSION/\" +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_QT_STEM}.framework/Versions/$$section(VERSION, ., 0, 0)/Headers/$$VERSION/$${MODULE_INCNAME}\" +- ) +-!!ELSE +- set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS \"\") +-!!ENDIF +-!!ELSE +-!!IF isEmpty(CMAKE_INCLUDE_DIR_IS_ABSOLUTE) +- set(_Qt5$${CMAKE_MODULE_NAME}_OWN_INCLUDE_DIRS \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$$CMAKE_INCLUDE_DIR\" \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_INCLUDE_DIR}$${MODULE_INCNAME}\") +-!!IF isEmpty(CMAKE_NO_PRIVATE_INCLUDES) +- set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_INCLUDE_DIR}$${MODULE_INCNAME}/$$VERSION\" +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_INCLUDE_DIR}$${MODULE_INCNAME}/$$VERSION/$${MODULE_INCNAME}\" ++ \"$${CMAKE_LIB_DIR}$${CMAKE_QT_STEM}.framework/Versions/$$section(VERSION, ., 0, 0)/Headers/$$VERSION/\" ++ \"$${CMAKE_LIB_DIR}$${CMAKE_QT_STEM}.framework/Versions/$$section(VERSION, ., 0, 0)/Headers/$$VERSION/$${MODULE_INCNAME}\" + ) + !!ELSE + set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS \"\") +@@ -122,7 +79,6 @@ if (NOT TARGET Qt5::$${CMAKE_MODULE_NAME}) + set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS \"\") + !!ENDIF + !!ENDIF +-!!ENDIF + !!IF !isEmpty(CMAKE_ADD_SOURCE_INCLUDE_DIRS) + include(\"${CMAKE_CURRENT_LIST_DIR}/ExtraSourceIncludes.cmake\" OPTIONAL) + !!ENDIF +@@ -272,25 +228,13 @@ if (NOT TARGET Qt5::$${CMAKE_MODULE_NAME}) + !!IF !isEmpty(CMAKE_FIND_OTHER_LIBRARY_BUILD) + !!IF isEmpty(CMAKE_DEBUG_TYPE) + !!IF !isEmpty(CMAKE_STATIC_WINDOWS_BUILD) +-!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +- if (EXISTS \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) +-!!ELSE // CMAKE_LIB_DIR_IS_ABSOLUTE + if (EXISTS \"$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) +-!!ENDIF // CMAKE_LIB_DIR_IS_ABSOLUTE + _populate_$${CMAKE_MODULE_NAME}_target_properties(DEBUG \"$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" \"\" ) + !!ELSE // CMAKE_STATIC_WINDOWS_BUILD + if (EXISTS +-!!IF isEmpty(CMAKE_DLL_DIR_IS_ABSOLUTE) +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_DLL_DIR}$${CMAKE_LIB_FILE_LOCATION_DEBUG}\" +-!!ELSE + \"$${CMAKE_LIB_FILE_LOCATION_DEBUG}\" +-!!ENDIF + AND EXISTS +-!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) +-!!ELSE + \"$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) +-!!ENDIF + _populate_$${CMAKE_MODULE_NAME}_target_properties(DEBUG \"$${CMAKE_LIB_FILE_LOCATION_DEBUG}\" \"$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) + !!ENDIF // CMAKE_STATIC_WINDOWS_BUILD + endif() +@@ -309,25 +253,13 @@ if (NOT TARGET Qt5::$${CMAKE_MODULE_NAME}) + !!IF !isEmpty(CMAKE_FIND_OTHER_LIBRARY_BUILD) + !!IF isEmpty(CMAKE_RELEASE_TYPE) + !!IF !isEmpty(CMAKE_STATIC_WINDOWS_BUILD) +-!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +- if (EXISTS \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) +-!!ELSE // CMAKE_LIB_DIR_IS_ABSOLUTE + if (EXISTS \"$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) +-!!ENDIF // CMAKE_LIB_DIR_IS_ABSOLUTE + _populate_$${CMAKE_MODULE_NAME}_target_properties(RELEASE \"$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" \"\" ) + !!ELSE // CMAKE_STATIC_WINDOWS_BUILD + if (EXISTS +-!!IF isEmpty(CMAKE_DLL_DIR_IS_ABSOLUTE) +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_DLL_DIR}$${CMAKE_LIB_FILE_LOCATION_RELEASE}\" +-!!ELSE + \"$${CMAKE_LIB_FILE_LOCATION_RELEASE}\" +-!!ENDIF + AND EXISTS +-!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) +-!!ELSE + \"$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) +-!!ENDIF + _populate_$${CMAKE_MODULE_NAME}_target_properties(RELEASE \"$${CMAKE_LIB_FILE_LOCATION_RELEASE}\" \"$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) + !!ENDIF // CMAKE_STATIC_WINDOWS_BUILD + endif() +@@ -346,11 +278,7 @@ if (NOT TARGET Qt5::$${CMAKE_MODULE_NAME}) + macro(_populate_$${CMAKE_MODULE_NAME}_plugin_properties Plugin Configuration PLUGIN_LOCATION) + set_property(TARGET Qt5::${Plugin} APPEND PROPERTY IMPORTED_CONFIGURATIONS ${Configuration}) + +-!!IF isEmpty(CMAKE_PLUGIN_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_PLUGIN_DIR}${PLUGIN_LOCATION}\") +-!!ELSE + set(imported_location \"$${CMAKE_PLUGIN_DIR}${PLUGIN_LOCATION}\") +-!!ENDIF + _qt5_$${CMAKE_MODULE_NAME}_check_file_exists(${imported_location}) + set_target_properties(Qt5::${Plugin} PROPERTIES + \"IMPORTED_LOCATION_${Configuration}\" ${imported_location} +diff --git a/mkspecs/features/qml_module.prf b/mkspecs/features/qml_module.prf +index 57cfec78..5cbd7c52 100644 +--- a/mkspecs/features/qml_module.prf ++++ b/mkspecs/features/qml_module.prf +@@ -51,7 +51,7 @@ builtin_resources { + # Install rules + qmldir.base = $$qmldir_path + qmldir.files = $$qmldir_file +-qmldir.path = $$[QT_INSTALL_QML]/$$TARGETPATH ++qmldir.path = $$NIX_OUTPUT_QML/$$TARGETPATH + INSTALLS += qmldir + + qmlfiles.base = $$_PRO_FILE_PWD_ +diff --git a/mkspecs/features/qml_plugin.prf b/mkspecs/features/qml_plugin.prf +index ad8ecdf5..804634b2 100644 +--- a/mkspecs/features/qml_plugin.prf ++++ b/mkspecs/features/qml_plugin.prf +@@ -50,7 +50,7 @@ load(qt_build_paths) + + DESTDIR = $$MODULE_BASE_OUTDIR/qml/$$TARGETPATH + +-target.path = $$[QT_INSTALL_QML]/$$TARGETPATH ++target.path = $$NIX_OUTPUT_QML/$$TARGETPATH + INSTALLS += target + + # Some final setup +diff --git a/mkspecs/features/qt_app.prf b/mkspecs/features/qt_app.prf +index 8354f30e..62028fef 100644 +--- a/mkspecs/features/qt_app.prf ++++ b/mkspecs/features/qt_app.prf +@@ -30,7 +30,7 @@ host_build:force_bootstrap { + target.path = $$[QT_HOST_BINS] + } else { + !build_pass:qtConfig(debug_and_release): CONFIG += release +- target.path = $$[QT_INSTALL_BINS] ++ target.path = $$NIX_OUTPUT_BIN/bin + CONFIG += relative_qt_rpath # Qt's tools and apps should be relocatable + } + INSTALLS += target +diff --git a/mkspecs/features/qt_build_paths.prf b/mkspecs/features/qt_build_paths.prf +index 3bb3823a..655b7b7d 100644 +--- a/mkspecs/features/qt_build_paths.prf ++++ b/mkspecs/features/qt_build_paths.prf +@@ -24,6 +24,6 @@ exists($$MODULE_BASE_INDIR/.git): \ + !force_independent { + # If the module is not built independently, everything ends up in qtbase. + # This is the case in non-prefix builds, except for selected modules. +- MODULE_BASE_OUTDIR = $$[QT_HOST_PREFIX] +- MODULE_QMAKE_OUTDIR = $$[QT_HOST_PREFIX] ++ MODULE_BASE_OUTDIR = $$NIX_OUTPUT_OUT ++ MODULE_QMAKE_OUTDIR = $$NIX_OUTPUT_OUT + } +diff --git a/mkspecs/features/qt_docs.prf b/mkspecs/features/qt_docs.prf +index 3b74cd4d..6bfbbe6e 100644 +--- a/mkspecs/features/qt_docs.prf ++++ b/mkspecs/features/qt_docs.prf +@@ -45,7 +45,7 @@ QMAKE_DOCS_OUTPUTDIR = $$QMAKE_DOCS_BASE_OUTDIR/$$QMAKE_DOCS_TARGETDIR + + QDOC += -outputdir $$shell_quote($$QMAKE_DOCS_OUTPUTDIR) + !build_online_docs: \ +- QDOC += -installdir $$shell_quote($$[QT_INSTALL_DOCS]) ++ QDOC += -installdir $$shell_quote($$NIX_OUTPUT_DOC) + PREP_DOC_INDEXES = + DOC_INDEXES = + !isEmpty(QTREPOS) { +@@ -64,8 +64,8 @@ DOC_INDEXES = + DOC_INDEXES += -indexdir $$shell_quote($$qrep/doc) + } else { + prepare_docs: \ +- PREP_DOC_INDEXES += -indexdir $$shell_quote($$[QT_INSTALL_DOCS/get]) +- DOC_INDEXES += -indexdir $$shell_quote($$[QT_INSTALL_DOCS/get]) ++ PREP_DOC_INDEXES += -indexdir $$shell_quote($$NIX_OUTPUT_DOC) ++ DOC_INDEXES += -indexdir $$shell_quote($$NIX_OUTPUT_DOC) + } + + qtattributionsscanner.target = qtattributionsscanner +@@ -88,12 +88,12 @@ prepare_docs { + qch_docs.commands = $$QHELPGENERATOR $$shell_quote($$QMAKE_DOCS_OUTPUTDIR/$${QMAKE_DOCS_TARGET}.qhp) -o $$shell_quote($$QMAKE_DOCS_BASE_OUTDIR/$${QMAKE_DOCS_TARGET}.qch) + + inst_html_docs.files = $$QMAKE_DOCS_OUTPUTDIR +- inst_html_docs.path = $$[QT_INSTALL_DOCS] ++ inst_html_docs.path = $$NIX_OUTPUT_DOC + inst_html_docs.CONFIG += no_check_exist directory no_default_install no_build + INSTALLS += inst_html_docs + + inst_qch_docs.files = $$QMAKE_DOCS_BASE_OUTDIR/$${QMAKE_DOCS_TARGET}.qch +- inst_qch_docs.path = $$[QT_INSTALL_DOCS] ++ inst_qch_docs.path = $$NIX_OUTPUT_DOC + inst_qch_docs.CONFIG += no_check_exist no_default_install no_build + INSTALLS += inst_qch_docs + +diff --git a/mkspecs/features/qt_example_installs.prf b/mkspecs/features/qt_example_installs.prf +index 43b58817..e635b8f6 100644 +--- a/mkspecs/features/qt_example_installs.prf ++++ b/mkspecs/features/qt_example_installs.prf +@@ -88,7 +88,7 @@ sourcefiles += \ + $$SOURCES $$HEADERS $$FORMS $$RESOURCES $$TRANSLATIONS \ + $$DBUS_ADAPTORS $$DBUS_INTERFACES + addInstallFiles(sources.files, $$sourcefiles) +-sources.path = $$[QT_INSTALL_EXAMPLES]/$$probase ++sources.path = $$NIX_OUTPUT_DEV/share/examples/$$probase + INSTALLS += sources + + check_examples { +diff --git a/mkspecs/features/qt_functions.prf b/mkspecs/features/qt_functions.prf +index 1903e509..ae7b5859 100644 +--- a/mkspecs/features/qt_functions.prf ++++ b/mkspecs/features/qt_functions.prf +@@ -69,7 +69,7 @@ defineTest(qtHaveModule) { + defineTest(qtPrepareTool) { + cmd = $$eval(QT_TOOL.$${2}.binary) + isEmpty(cmd) { +- cmd = $$[QT_HOST_BINS]/$$2 ++ cmd = $$system("command -v $$2") + exists($${cmd}.pl) { + $${1}_EXE = $${cmd}.pl + cmd = perl -w $$system_path($${cmd}.pl) +diff --git a/mkspecs/features/qt_installs.prf b/mkspecs/features/qt_installs.prf +index 1ebca173..b784441d 100644 +--- a/mkspecs/features/qt_installs.prf ++++ b/mkspecs/features/qt_installs.prf +@@ -12,16 +12,10 @@ + #library + !qt_no_install_library { + win32 { +- host_build: \ +- dlltarget.path = $$[QT_HOST_BINS] +- else: \ +- dlltarget.path = $$[QT_INSTALL_BINS] ++ dlltarget.path = $$NIX_OUTPUT_BIN/bin + INSTALLS += dlltarget + } +- host_build: \ +- target.path = $$[QT_HOST_LIBS] +- else: \ +- target.path = $$[QT_INSTALL_LIBS] ++ target.path = $$NIX_OUTPUT_OUT/lib + !static: target.CONFIG = no_dll + INSTALLS += target + } +@@ -29,35 +23,35 @@ + #headers + qt_install_headers { + gen_headers.files = $$SYNCQT.GENERATED_HEADER_FILES +- gen_headers.path = $$[QT_INSTALL_HEADERS]/$$MODULE_INCNAME ++ gen_headers.path = $$NIX_OUTPUT_DEV/include/$$MODULE_INCNAME + INSTALLS += gen_headers + + targ_headers.files = $$SYNCQT.HEADER_FILES $$SYNCQT.INJECTED_HEADER_FILES +- targ_headers.path = $$[QT_INSTALL_HEADERS]/$$MODULE_INCNAME ++ targ_headers.path = $$NIX_OUTPUT_DEV/include/$$MODULE_INCNAME + INSTALLS += targ_headers + + private_headers.files = $$SYNCQT.PRIVATE_HEADER_FILES $$SYNCQT.INJECTED_PRIVATE_HEADER_FILES +- private_headers.path = $$[QT_INSTALL_HEADERS]/$$MODULE_INCNAME/$$VERSION/$$MODULE_INCNAME/private ++ private_headers.path = $$NIX_OUTPUT_DEV/include/$$MODULE_INCNAME/$$VERSION/$$MODULE_INCNAME/private + generated_privates: \ + private_headers.CONFIG += no_check_exist + INSTALLS += private_headers + + qpa_headers.files = $$SYNCQT.QPA_HEADER_FILES +- qpa_headers.path = $$[QT_INSTALL_HEADERS]/$$MODULE_INCNAME/$$VERSION/$$MODULE_INCNAME/qpa ++ qpa_headers.path = $$NIX_OUTPUT_DEV/include/$$MODULE_INCNAME/$$VERSION/$$MODULE_INCNAME/qpa + INSTALLS += qpa_headers + } + + #module + qt_install_module { + !isEmpty(MODULE_PRI) { +- pritarget.path = $$[QT_HOST_DATA]/mkspecs/modules ++ pritarget.path = $$NIX_OUTPUT_DEV/mkspecs/modules + pritarget.files = $$MODULE_PRI + INSTALLS += pritarget + } else: isEmpty(MODULE_PRIVATE_PRI) { + warning("Project $$basename(_PRO_FILE_) is a module, but has not defined MODULE_PRI, which is required for Qt to expose the module to other projects.") + } + !isEmpty(MODULE_PRIVATE_PRI) { +- privpritarget.path = $$[QT_HOST_DATA]/mkspecs/modules ++ privpritarget.path = $$NIX_OUTPUT_DEV/mkspecs/modules + privpritarget.files = $$MODULE_PRIVATE_PRI + INSTALLS += privpritarget + } +diff --git a/mkspecs/features/qt_plugin.prf b/mkspecs/features/qt_plugin.prf +index 40528a65..903f7952 100644 +--- a/mkspecs/features/qt_plugin.prf ++++ b/mkspecs/features/qt_plugin.prf +@@ -88,7 +88,7 @@ CONFIG(static, static|shared)|prefix_build { + } + } + +-target.path = $$[QT_INSTALL_PLUGINS]/$$PLUGIN_TYPE ++target.path = $$NIX_OUTPUT_PLUGIN/$$PLUGIN_TYPE + INSTALLS += target + + TARGET = $$qt5LibraryTarget($$TARGET) +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0004-qtbase-replace-libdir.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0004-qtbase-replace-libdir.patch new file mode 100644 index 00000000000..93c4748f6fd --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0004-qtbase-replace-libdir.patch @@ -0,0 +1,68 @@ +From d126db8f5c2c1f6d6738de1a53040c93fdf6ff73 Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Wed, 18 Sep 2019 05:39:50 -0500 +Subject: [PATCH 04/11] qtbase-replace-libdir + +--- + mkspecs/features/qt_common.prf | 20 ++------------------ + mkspecs/features/qt_module.prf | 5 +---- + 2 files changed, 3 insertions(+), 22 deletions(-) + +diff --git a/mkspecs/features/qt_common.prf b/mkspecs/features/qt_common.prf +index caecb68a..d3aa3ba5 100644 +--- a/mkspecs/features/qt_common.prf ++++ b/mkspecs/features/qt_common.prf +@@ -30,32 +30,16 @@ contains(TEMPLATE, .*lib) { + rplbase = $$dirname(_QMAKE_SUPER_CACHE_)/[^/][^/]* + else: \ + rplbase = $$MODULE_BASE_OUTDIR +- host_build { +- qqt_libdir = \$\$\$\$[QT_HOST_LIBS] +- qt_libdir = $$[QT_HOST_LIBS] +- } else { +- qqt_libdir = \$\$\$\$[QT_INSTALL_LIBS] +- qt_libdir = $$[QT_INSTALL_LIBS] +- } ++ qt_libdir = $$NIX_OUTPUT_OUT/lib + contains(QMAKE_DEFAULT_LIBDIRS, $$qt_libdir) { +- lib_replace0.match = $$rplbase/lib/ +- lib_replace0.replace = $$qqt_libdir/ +- lib_replace0.CONFIG = path +- QMAKE_PRL_INSTALL_REPLACE += lib_replace0 + lib_replace.match = "[^ ']*$$rplbase/lib" + lib_replace.replace = + } else { + lib_replace.match = $$rplbase/lib +- lib_replace.replace = $$qqt_libdir ++ lib_replace.replace = $$qt_libdir + } + lib_replace.CONFIG = path + QMAKE_PRL_INSTALL_REPLACE += lib_replace +- !equals(qt_libdir, $$rplbase/lib) { +- qtlibdir_replace.match = $$qt_libdir +- qtlibdir_replace.replace = $$qqt_libdir +- qtlibdir_replace.CONFIG = path +- QMAKE_PRL_INSTALL_REPLACE += qtlibdir_replace +- } + } + + # The remainder of this file must not apply to host tools/libraries, +diff --git a/mkspecs/features/qt_module.prf b/mkspecs/features/qt_module.prf +index 51b5bde6..82e2907c 100644 +--- a/mkspecs/features/qt_module.prf ++++ b/mkspecs/features/qt_module.prf +@@ -292,10 +292,7 @@ load(qt_targets) + } + !lib_bundle:unix { + CONFIG += create_libtool +- host_build: \ +- QMAKE_LIBTOOL_LIBDIR = $$[QT_HOST_LIBS] +- else: \ +- QMAKE_LIBTOOL_LIBDIR = "=$$[QT_INSTALL_LIBS/raw]" ++ QMAKE_LIBTOOL_LIBDIR = $$NIX_OUTPUT_OUT/lib + ltlib_replace.match = $$lib_replace.match + !isEmpty(lib_replace.replace): \ + ltlib_replace.replace = $$QMAKE_LIBTOOL_LIBDIR +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0005-qtbase-cmake.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0005-qtbase-cmake.patch new file mode 100644 index 00000000000..b93b8f8c832 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0005-qtbase-cmake.patch @@ -0,0 +1,194 @@ +From 0ea804da2eb1d0cfbbfc15fbc33a3d7dd5de36ed Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:34:28 -0500 +Subject: [PATCH 05/11] qtbase-cmake + +--- + mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in | 2 +- + mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in | 4 ++-- + src/corelib/Qt5CoreConfigExtras.cmake.in | 10 +++++----- + src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in | 2 +- + .../Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in | 2 +- + src/dbus/Qt5DBusConfigExtras.cmake.in | 12 ++---------- + src/gui/Qt5GuiConfigExtras.cmake.in | 6 +++--- + src/widgets/Qt5WidgetsConfigExtras.cmake.in | 2 +- + 8 files changed, 16 insertions(+), 24 deletions(-) + +diff --git a/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in b/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in +index c60ef16e..e354ab91 100644 +--- a/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in ++++ b/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in +@@ -278,7 +278,7 @@ if (NOT TARGET Qt5::$${CMAKE_MODULE_NAME}) + macro(_populate_$${CMAKE_MODULE_NAME}_plugin_properties Plugin Configuration PLUGIN_LOCATION) + set_property(TARGET Qt5::${Plugin} APPEND PROPERTY IMPORTED_CONFIGURATIONS ${Configuration}) + +- set(imported_location \"$${CMAKE_PLUGIN_DIR}${PLUGIN_LOCATION}\") ++ set(imported_location \"${PLUGIN_LOCATION}\") + _qt5_$${CMAKE_MODULE_NAME}_check_file_exists(${imported_location}) + set_target_properties(Qt5::${Plugin} PROPERTIES + \"IMPORTED_LOCATION_${Configuration}\" ${imported_location} +diff --git a/mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in b/mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in +index 5baf0fdb..3583745a 100644 +--- a/mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in ++++ b/mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in +@@ -2,10 +2,10 @@ + add_library(Qt5::$$CMAKE_PLUGIN_NAME MODULE IMPORTED) + + !!IF !isEmpty(CMAKE_RELEASE_TYPE) +-_populate_$${CMAKE_MODULE_NAME}_plugin_properties($$CMAKE_PLUGIN_NAME RELEASE \"$${CMAKE_PLUGIN_LOCATION_RELEASE}\") ++_populate_$${CMAKE_MODULE_NAME}_plugin_properties($$CMAKE_PLUGIN_NAME RELEASE \"$${CMAKE_PLUGIN_DIR}$${CMAKE_PLUGIN_LOCATION_RELEASE}\") + !!ENDIF + !!IF !isEmpty(CMAKE_DEBUG_TYPE) +-_populate_$${CMAKE_MODULE_NAME}_plugin_properties($$CMAKE_PLUGIN_NAME DEBUG \"$${CMAKE_PLUGIN_LOCATION_DEBUG}\") ++_populate_$${CMAKE_MODULE_NAME}_plugin_properties($$CMAKE_PLUGIN_NAME DEBUG \"$${CMAKE_PLUGIN_DIR}$${CMAKE_PLUGIN_LOCATION_DEBUG}\") + !!ENDIF + + list(APPEND Qt5$${CMAKE_MODULE_NAME}_PLUGINS Qt5::$$CMAKE_PLUGIN_NAME) +diff --git a/src/corelib/Qt5CoreConfigExtras.cmake.in b/src/corelib/Qt5CoreConfigExtras.cmake.in +index e0652fdc..450b2a2d 100644 +--- a/src/corelib/Qt5CoreConfigExtras.cmake.in ++++ b/src/corelib/Qt5CoreConfigExtras.cmake.in +@@ -3,7 +3,7 @@ if (NOT TARGET Qt5::qmake) + add_executable(Qt5::qmake IMPORTED) + + !!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_BIN_DIR}qmake$$CMAKE_BIN_SUFFIX\") ++ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_BIN_DIR}qmake$$CMAKE_BIN_SUFFIX\") + !!ELSE + set(imported_location \"$${CMAKE_BIN_DIR}qmake$$CMAKE_BIN_SUFFIX\") + !!ENDIF +@@ -18,7 +18,7 @@ if (NOT TARGET Qt5::moc) + add_executable(Qt5::moc IMPORTED) + + !!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_BIN_DIR}moc$$CMAKE_BIN_SUFFIX\") ++ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_BIN_DIR}moc$$CMAKE_BIN_SUFFIX\") + !!ELSE + set(imported_location \"$${CMAKE_BIN_DIR}moc$$CMAKE_BIN_SUFFIX\") + !!ENDIF +@@ -35,7 +35,7 @@ if (NOT TARGET Qt5::rcc) + add_executable(Qt5::rcc IMPORTED) + + !!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_BIN_DIR}rcc$$CMAKE_BIN_SUFFIX\") ++ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_BIN_DIR}rcc$$CMAKE_BIN_SUFFIX\") + !!ELSE + set(imported_location \"$${CMAKE_BIN_DIR}rcc$$CMAKE_BIN_SUFFIX\") + !!ENDIF +@@ -116,7 +116,7 @@ if (NOT TARGET Qt5::WinMain) + !!IF !isEmpty(CMAKE_RELEASE_TYPE) + set_property(TARGET Qt5::WinMain APPEND PROPERTY IMPORTED_CONFIGURATIONS RELEASE) + !!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_RELEASE}\") ++ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_RELEASE}\") + !!ELSE + set(imported_location \"$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_RELEASE}\") + !!ENDIF +@@ -130,7 +130,7 @@ if (NOT TARGET Qt5::WinMain) + set_property(TARGET Qt5::WinMain APPEND PROPERTY IMPORTED_CONFIGURATIONS DEBUG) + + !!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_DEBUG}\") ++ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_DEBUG}\") + !!ELSE + set(imported_location \"$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_DEBUG}\") + !!ENDIF +diff --git a/src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in b/src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in +index c357237d..6f0c75de 100644 +--- a/src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in ++++ b/src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in +@@ -1,6 +1,6 @@ + + !!IF isEmpty(CMAKE_HOST_DATA_DIR_IS_ABSOLUTE) +-set(_qt5_corelib_extra_includes \"${_qt5Core_install_prefix}/$${CMAKE_HOST_DATA_DIR}/mkspecs/$${CMAKE_MKSPEC}\") ++set(_qt5_corelib_extra_includes \"$$NIX_OUTPUT_DEV/$${CMAKE_HOST_DATA_DIR}/mkspecs/$${CMAKE_MKSPEC}\") + !!ELSE + set(_qt5_corelib_extra_includes \"$${CMAKE_HOST_DATA_DIR}mkspecs/$${CMAKE_MKSPEC}\") + !!ENDIF +diff --git a/src/corelib/Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in b/src/corelib/Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in +index 706304cf..546420f6 100644 +--- a/src/corelib/Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in ++++ b/src/corelib/Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in +@@ -1,6 +1,6 @@ + + !!IF isEmpty(CMAKE_INSTALL_DATA_DIR_IS_ABSOLUTE) +-set(_qt5_corelib_extra_includes \"${_qt5Core_install_prefix}/$${CMAKE_INSTALL_DATA_DIR}/mkspecs/$${CMAKE_MKSPEC}\") ++set(_qt5_corelib_extra_includes \"$$NIX_OUTPUT_DEV/$${CMAKE_INSTALL_DATA_DIR}/mkspecs/$${CMAKE_MKSPEC}\") + !!ELSE + set(_qt5_corelib_extra_includes \"$${CMAKE_INSTALL_DATA_DIR}mkspecs/$${CMAKE_MKSPEC}\") + !!ENDIF +diff --git a/src/dbus/Qt5DBusConfigExtras.cmake.in b/src/dbus/Qt5DBusConfigExtras.cmake.in +index 1d947159..b36865fc 100644 +--- a/src/dbus/Qt5DBusConfigExtras.cmake.in ++++ b/src/dbus/Qt5DBusConfigExtras.cmake.in +@@ -2,11 +2,7 @@ + if (NOT TARGET Qt5::qdbuscpp2xml) + add_executable(Qt5::qdbuscpp2xml IMPORTED) + +-!!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5DBus_install_prefix}/$${CMAKE_BIN_DIR}qdbuscpp2xml$$CMAKE_BIN_SUFFIX\") +-!!ELSE +- set(imported_location \"$${CMAKE_BIN_DIR}qdbuscpp2xml$$CMAKE_BIN_SUFFIX\") +-!!ENDIF ++ set(imported_location \"$$NIX_OUTPUT_DEV/bin/qdbuscpp2xml$$CMAKE_BIN_SUFFIX\") + _qt5_DBus_check_file_exists(${imported_location}) + + set_target_properties(Qt5::qdbuscpp2xml PROPERTIES +@@ -17,11 +13,7 @@ endif() + if (NOT TARGET Qt5::qdbusxml2cpp) + add_executable(Qt5::qdbusxml2cpp IMPORTED) + +-!!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5DBus_install_prefix}/$${CMAKE_BIN_DIR}qdbusxml2cpp$$CMAKE_BIN_SUFFIX\") +-!!ELSE +- set(imported_location \"$${CMAKE_BIN_DIR}qdbusxml2cpp$$CMAKE_BIN_SUFFIX\") +-!!ENDIF ++ set(imported_location \"$$NIX_OUTPUT_DEV/bin/qdbusxml2cpp$$CMAKE_BIN_SUFFIX\") + _qt5_DBus_check_file_exists(${imported_location}) + + set_target_properties(Qt5::qdbusxml2cpp PROPERTIES +diff --git a/src/gui/Qt5GuiConfigExtras.cmake.in b/src/gui/Qt5GuiConfigExtras.cmake.in +index 84dbbfeb..8ad0720c 100644 +--- a/src/gui/Qt5GuiConfigExtras.cmake.in ++++ b/src/gui/Qt5GuiConfigExtras.cmake.in +@@ -2,7 +2,7 @@ + !!IF !isEmpty(CMAKE_ANGLE_EGL_DLL_RELEASE) + + !!IF isEmpty(CMAKE_INCLUDE_DIR_IS_ABSOLUTE) +-set(Qt5Gui_EGL_INCLUDE_DIRS \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$$CMAKE_INCLUDE_DIR/QtANGLE\") ++set(Qt5Gui_EGL_INCLUDE_DIRS \"$$NIX_OUTPUT_DEV/$$CMAKE_INCLUDE_DIR/QtANGLE\") + !!ELSE + set(Qt5Gui_EGL_INCLUDE_DIRS \"$$CMAKE_INCLUDE_DIR/QtANGLE\") + !!ENDIF +@@ -17,13 +17,13 @@ macro(_populate_qt5gui_gl_target_properties TargetName Configuration LIB_LOCATIO + set_property(TARGET Qt5::${TargetName} APPEND PROPERTY IMPORTED_CONFIGURATIONS ${Configuration}) + + !!IF isEmpty(CMAKE_DLL_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5Gui_install_prefix}/$${CMAKE_DLL_DIR}${LIB_LOCATION}\") ++ set(imported_location \"$$NIX_OUTPUT_OUT/$${CMAKE_DLL_DIR}${LIB_LOCATION}\") + !!ELSE + set(imported_location \"$${CMAKE_DLL_DIR}${LIB_LOCATION}\") + !!ENDIF + + !!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +- set(imported_implib \"${_qt5Gui_install_prefix}/$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") ++ set(imported_implib \"$$NIX_OUTPUT_OUT/$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") + !!ELSE + set(imported_implib \"$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") + !!ENDIF +diff --git a/src/widgets/Qt5WidgetsConfigExtras.cmake.in b/src/widgets/Qt5WidgetsConfigExtras.cmake.in +index 99d87e2e..a4eab2aa 100644 +--- a/src/widgets/Qt5WidgetsConfigExtras.cmake.in ++++ b/src/widgets/Qt5WidgetsConfigExtras.cmake.in +@@ -3,7 +3,7 @@ if (NOT TARGET Qt5::uic) + add_executable(Qt5::uic IMPORTED) + + !!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5Widgets_install_prefix}/$${CMAKE_BIN_DIR}uic$$CMAKE_BIN_SUFFIX\") ++ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_BIN_DIR}uic$$CMAKE_BIN_SUFFIX\") + !!ELSE + set(imported_location \"$${CMAKE_BIN_DIR}uic$$CMAKE_BIN_SUFFIX\") + !!ENDIF +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0006-qtbase-gtk3.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0006-qtbase-gtk3.patch new file mode 100644 index 00000000000..80f4a4091e6 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0006-qtbase-gtk3.patch @@ -0,0 +1,48 @@ +From 8fa184fb70a62cbe9ee160bceddaf5d7c21cb85c Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:35:33 -0500 +Subject: [PATCH 06/11] qtbase-gtk3 + +--- + src/plugins/platformthemes/gtk3/main.cpp | 17 ++++++++++++++++- + 1 file changed, 16 insertions(+), 1 deletion(-) + +diff --git a/src/plugins/platformthemes/gtk3/main.cpp b/src/plugins/platformthemes/gtk3/main.cpp +index fb1c425d..bb8bab97 100644 +--- a/src/plugins/platformthemes/gtk3/main.cpp ++++ b/src/plugins/platformthemes/gtk3/main.cpp +@@ -39,6 +39,7 @@ + + #include <qpa/qplatformthemeplugin.h> + #include "qgtk3theme.h" ++#include <QFile> + + QT_BEGIN_NAMESPACE + +@@ -54,8 +55,22 @@ public: + QPlatformTheme *QGtk3ThemePlugin::create(const QString &key, const QStringList ¶ms) + { + Q_UNUSED(params); +- if (!key.compare(QLatin1String(QGtk3Theme::name), Qt::CaseInsensitive)) ++ if (!key.compare(QLatin1String(QGtk3Theme::name), Qt::CaseInsensitive)) { ++ ++#ifdef NIXPKGS_QGTK3_XDG_DATA_DIRS ++ QStringList XDG_DATA_DIRS = QFile::decodeName(qgetenv("XDG_DATA_DIRS")).split(':'); ++ XDG_DATA_DIRS << QLatin1String(NIXPKGS_QGTK3_XDG_DATA_DIRS); ++ qputenv("XDG_DATA_DIRS", QFile::encodeName(XDG_DATA_DIRS.join(':'))); ++#endif ++ ++#ifdef NIXPKGS_QGTK3_GIO_EXTRA_MODULES ++ QStringList GIO_EXTRA_MODULES = QFile::decodeName(qgetenv("GIO_EXTRA_MODULES")).split(':'); ++ GIO_EXTRA_MODULES << QLatin1String(NIXPKGS_QGTK3_GIO_EXTRA_MODULES); ++ qputenv("GIO_EXTRA_MODULES", QFile::encodeName(GIO_EXTRA_MODULES.join(':'))); ++#endif ++ + return new QGtk3Theme; ++ } + + return 0; + } +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0007-qtbase-xcursor.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0007-qtbase-xcursor.patch new file mode 100644 index 00000000000..33122773598 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0007-qtbase-xcursor.patch @@ -0,0 +1,29 @@ +From b4fe78eb31f30ef499970b2ca7e7947c025588af Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:35:58 -0500 +Subject: [PATCH 07/11] qtbase-xcursor + +--- + src/plugins/platforms/xcb/qxcbcursor.cpp | 4 ++-- + 1 file changed, 2 insertions(+), 2 deletions(-) + +diff --git a/src/plugins/platforms/xcb/qxcbcursor.cpp b/src/plugins/platforms/xcb/qxcbcursor.cpp +index fbadab4d..c83ce0af 100644 +--- a/src/plugins/platforms/xcb/qxcbcursor.cpp ++++ b/src/plugins/platforms/xcb/qxcbcursor.cpp +@@ -317,10 +317,10 @@ QXcbCursor::QXcbCursor(QXcbConnection *conn, QXcbScreen *screen) + #if QT_CONFIG(xcb_xlib) && QT_CONFIG(library) + static bool function_ptrs_not_initialized = true; + if (function_ptrs_not_initialized) { +- QLibrary xcursorLib(QLatin1String("Xcursor"), 1); ++ QLibrary xcursorLib(QLatin1String(NIXPKGS_LIBXCURSOR), 1); + bool xcursorFound = xcursorLib.load(); + if (!xcursorFound) { // try without the version number +- xcursorLib.setFileName(QLatin1String("Xcursor")); ++ xcursorLib.setFileName(QLatin1String(NIXPKGS_LIBXCURSOR)); + xcursorFound = xcursorLib.load(); + } + if (xcursorFound) { +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0008-qtbase-xcompose.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0008-qtbase-xcompose.patch new file mode 100644 index 00000000000..f54ba7f9002 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0008-qtbase-xcompose.patch @@ -0,0 +1,30 @@ +From 47b2bed58224bda2267480604707a580dc17dd1f Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:36:10 -0500 +Subject: [PATCH 08/11] qtbase-xcompose + +--- + .../compose/generator/qtablegenerator.cpp | 5 +---- + 1 file changed, 1 insertion(+), 4 deletions(-) + +diff --git a/src/plugins/platforminputcontexts/compose/generator/qtablegenerator.cpp b/src/plugins/platforminputcontexts/compose/generator/qtablegenerator.cpp +index b5a0a5bb..6c20305f 100644 +--- a/src/plugins/platforminputcontexts/compose/generator/qtablegenerator.cpp ++++ b/src/plugins/platforminputcontexts/compose/generator/qtablegenerator.cpp +@@ -265,12 +265,9 @@ void TableGenerator::initPossibleLocations() + m_possibleLocations.reserve(7); + if (qEnvironmentVariableIsSet("QTCOMPOSE")) + m_possibleLocations.append(QString::fromLocal8Bit(qgetenv("QTCOMPOSE"))); +- m_possibleLocations.append(QStringLiteral("/usr/share/X11/locale")); +- m_possibleLocations.append(QStringLiteral("/usr/local/share/X11/locale")); +- m_possibleLocations.append(QStringLiteral("/usr/lib/X11/locale")); +- m_possibleLocations.append(QStringLiteral("/usr/local/lib/X11/locale")); + m_possibleLocations.append(QStringLiteral(X11_PREFIX "/share/X11/locale")); + m_possibleLocations.append(QStringLiteral(X11_PREFIX "/lib/X11/locale")); ++ m_possibleLocations.append(QLatin1String(NIXPKGS_QTCOMPOSE)); + } + + QString TableGenerator::findComposeFile() +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0009-qtbase-tzdir.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0009-qtbase-tzdir.patch new file mode 100644 index 00000000000..e8a4533cc2d --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0009-qtbase-tzdir.patch @@ -0,0 +1,51 @@ +From 354713a61005b9a4743b9db0d76c72514c4579f8 Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:36:25 -0500 +Subject: [PATCH 09/11] qtbase-tzdir + +--- + src/corelib/tools/qtimezoneprivate_tz.cpp | 20 ++++++++++++++------ + 1 file changed, 14 insertions(+), 6 deletions(-) + +diff --git a/src/corelib/tools/qtimezoneprivate_tz.cpp b/src/corelib/tools/qtimezoneprivate_tz.cpp +index 7d85bc07..c13d99b8 100644 +--- a/src/corelib/tools/qtimezoneprivate_tz.cpp ++++ b/src/corelib/tools/qtimezoneprivate_tz.cpp +@@ -71,7 +71,11 @@ typedef QHash<QByteArray, QTzTimeZone> QTzTimeZoneHash; + // Parse zone.tab table, assume lists all installed zones, if not will need to read directories + static QTzTimeZoneHash loadTzTimeZones() + { +- QString path = QStringLiteral("/usr/share/zoneinfo/zone.tab"); ++ // Try TZDIR first, in case we're running on NixOS. ++ QString path = QFile::decodeName(qgetenv("TZDIR")) + QStringLiteral("/zone.tab"); ++ // Fallback to traditional paths in case we are not on NixOS. ++ if (!QFile::exists(path)) ++ path = QStringLiteral("/usr/share/zoneinfo/zone.tab"); + if (!QFile::exists(path)) + path = QStringLiteral("/usr/lib/zoneinfo/zone.tab"); + +@@ -650,12 +654,16 @@ void QTzTimeZonePrivate::init(const QByteArray &ianaId) + if (!tzif.open(QIODevice::ReadOnly)) + return; + } else { +- // Open named tz, try modern path first, if fails try legacy path +- tzif.setFileName(QLatin1String("/usr/share/zoneinfo/") + QString::fromLocal8Bit(ianaId)); ++ // Try TZDIR first, in case we're running on NixOS ++ tzif.setFileName(QFile::decodeName(qgetenv("TZDIR")) + QStringLiteral("/") + QString::fromLocal8Bit(ianaId)); + if (!tzif.open(QIODevice::ReadOnly)) { +- tzif.setFileName(QLatin1String("/usr/lib/zoneinfo/") + QString::fromLocal8Bit(ianaId)); +- if (!tzif.open(QIODevice::ReadOnly)) +- return; ++ // Open named tz, try modern path first, if fails try legacy path ++ tzif.setFileName(QLatin1String("/usr/share/zoneinfo/") + QString::fromLocal8Bit(ianaId)); ++ if (!tzif.open(QIODevice::ReadOnly)) { ++ tzif.setFileName(QLatin1String("/usr/lib/zoneinfo/") + QString::fromLocal8Bit(ianaId)); ++ if (!tzif.open(QIODevice::ReadOnly)) ++ return; ++ } + } + } + +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0010-qtbase-qtpluginpath.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0010-qtbase-qtpluginpath.patch new file mode 100644 index 00000000000..b53544e0d4e --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0010-qtbase-qtpluginpath.patch @@ -0,0 +1,32 @@ +From 571060c0e1dca29554cc97cfb33087c9b41114a5 Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:36:41 -0500 +Subject: [PATCH 10/11] qtbase-qtpluginpath + +--- + src/corelib/kernel/qcoreapplication.cpp | 9 +++++++++ + 1 file changed, 9 insertions(+) + +diff --git a/src/corelib/kernel/qcoreapplication.cpp b/src/corelib/kernel/qcoreapplication.cpp +index 8652c456..74562272 100644 +--- a/src/corelib/kernel/qcoreapplication.cpp ++++ b/src/corelib/kernel/qcoreapplication.cpp +@@ -2690,6 +2690,15 @@ QStringList QCoreApplication::libraryPaths() + QStringList *app_libpaths = new QStringList; + coreappdata()->app_libpaths.reset(app_libpaths); + ++ // Add library paths derived from PATH ++ const QStringList paths = QFile::decodeName(qgetenv("PATH")).split(':'); ++ const QString plugindir = QStringLiteral("../" NIXPKGS_QT_PLUGIN_PREFIX); ++ for (const QString &path: paths) { ++ if (!path.isEmpty()) { ++ app_libpaths->append(QDir::cleanPath(path + QDir::separator() + plugindir)); ++ } ++ } ++ + QString libPathEnv = qEnvironmentVariable("QT_PLUGIN_PATH"); + if (!libPathEnv.isEmpty()) { + QStringList paths = libPathEnv.split(QDir::listSeparator(), QString::SkipEmptyParts); +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0011-qtbase-assert.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0011-qtbase-assert.patch new file mode 100644 index 00000000000..0fd93759c3a --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0011-qtbase-assert.patch @@ -0,0 +1,32 @@ +From 545e696e270a3879dd59f71d145e31a7d93ab8f4 Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:37:04 -0500 +Subject: [PATCH 11/11] qtbase-assert + +--- + src/testlib/qtestassert.h | 7 +++++-- + 1 file changed, 5 insertions(+), 2 deletions(-) + +diff --git a/src/testlib/qtestassert.h b/src/testlib/qtestassert.h +index 6498ea84..d821ced7 100644 +--- a/src/testlib/qtestassert.h ++++ b/src/testlib/qtestassert.h +@@ -44,10 +44,13 @@ + + QT_BEGIN_NAMESPACE + +- ++#if defined(QT_NO_DEBUG) && !defined(QT_FORCE_ASSERTS) ++#define QTEST_ASSERT(cond) do { } while ((false) && (cond)) ++#define QTEST_ASSERT_X(cond, where, what) do { } while ((false) && (cond)) ++#else + #define QTEST_ASSERT(cond) do { if (!(cond)) qt_assert(#cond,__FILE__,__LINE__); } while (false) +- + #define QTEST_ASSERT_X(cond, where, what) do { if (!(cond)) qt_assert_x(where, what,__FILE__,__LINE__); } while (false) ++#endif + + QT_END_NAMESPACE + +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/srcs.nix b/nixpkgs/pkgs/development/libraries/qt-5/5.12/srcs.nix index ce567c3a2bc..46bc14a1346 100644 --- a/nixpkgs/pkgs/development/libraries/qt-5/5.12/srcs.nix +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/srcs.nix @@ -3,323 +3,323 @@ { qt3d = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qt3d-everywhere-src-5.12.3.tar.xz"; - sha256 = "8997f07c816bbc6dd43fc2171801178bc65e704d35039998530cfa49837eaa7d"; - name = "qt3d-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qt3d-everywhere-src-5.12.4.tar.xz"; + sha256 = "cfad2e16f40fa07f8be59fa29c0c246743ee67db417ca29772a92f36fa322af3"; + name = "qt3d-everywhere-src-5.12.4.tar.xz"; }; }; qtactiveqt = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtactiveqt-everywhere-src-5.12.3.tar.xz"; - sha256 = "15a5fde0a069f402bea9f422d8d2c46af440d202122c6307c2a6be642d20dc0f"; - name = "qtactiveqt-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtactiveqt-everywhere-src-5.12.4.tar.xz"; + sha256 = "d3c78e6c2a75b9d4f9685d4eea6e84f44f97034a54aed7a159c53cfd4ec4eac7"; + name = "qtactiveqt-everywhere-src-5.12.4.tar.xz"; }; }; qtandroidextras = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtandroidextras-everywhere-src-5.12.3.tar.xz"; - sha256 = "866b3fbcfc2cbebdb83b5adec4e5d0bd29b0e0b0762d66fb3fef0b400e37254f"; - name = "qtandroidextras-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtandroidextras-everywhere-src-5.12.4.tar.xz"; + sha256 = "18e0dbd82920b0ca51b29172fc0ed1f2a923cb7c4fa8fb574595abc16ec3245e"; + name = "qtandroidextras-everywhere-src-5.12.4.tar.xz"; }; }; qtbase = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtbase-everywhere-src-5.12.3.tar.xz"; - sha256 = "fddfd8852ef7503febeed67b876d1425160869ae2b1ae8e10b3fb0fedc5fe701"; - name = "qtbase-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtbase-everywhere-src-5.12.4.tar.xz"; + sha256 = "20fbc7efa54ff7db9552a7a2cdf9047b80253c1933c834f35b0bc5c1ae021195"; + name = "qtbase-everywhere-src-5.12.4.tar.xz"; }; }; qtcanvas3d = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtcanvas3d-everywhere-src-5.12.3.tar.xz"; - sha256 = "c0821f1232c6bcd00648af9a5d1eade8e0397c6bfff60621e0fcdfc75561baea"; - name = "qtcanvas3d-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtcanvas3d-everywhere-src-5.12.4.tar.xz"; + sha256 = "d7e0e8aa542d077a929fb7700411ca9de1f65ae4748d64168d2e7533facd7869"; + name = "qtcanvas3d-everywhere-src-5.12.4.tar.xz"; }; }; qtcharts = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtcharts-everywhere-src-5.12.3.tar.xz"; - sha256 = "820c94b2bf5d73e921fe99be1e3a03a6f012d96574a08e504d68db237522b3a9"; - name = "qtcharts-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtcharts-everywhere-src-5.12.4.tar.xz"; + sha256 = "06ff68a80dc377847429cdd87d4e46465e1d6fbc417d52700a0a59d197669c9e"; + name = "qtcharts-everywhere-src-5.12.4.tar.xz"; }; }; qtconnectivity = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtconnectivity-everywhere-src-5.12.3.tar.xz"; - sha256 = "01518cee71a8d53b9c2387f8c7facbcc2c4d63ab3b79462edfa06ba3bfeae661"; - name = "qtconnectivity-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtconnectivity-everywhere-src-5.12.4.tar.xz"; + sha256 = "749d05242b9fae12e80f569fb6b918dc011cb191eeb05147cbde474ca6b173ef"; + name = "qtconnectivity-everywhere-src-5.12.4.tar.xz"; }; }; qtdatavis3d = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtdatavis3d-everywhere-src-5.12.3.tar.xz"; - sha256 = "f6d073c4575542f8ff6de3ac3b6e8dde6ae2d87e98119de7a13bc984aa967313"; - name = "qtdatavis3d-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtdatavis3d-everywhere-src-5.12.4.tar.xz"; + sha256 = "1c160eeb430c8602aaee8ae4faa55bc62f880dae642be5fd1ac019f7886eb15a"; + name = "qtdatavis3d-everywhere-src-5.12.4.tar.xz"; }; }; qtdeclarative = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtdeclarative-everywhere-src-5.12.3.tar.xz"; - sha256 = "839881cd6996e35c351bc7d560372ebb91e61f3688957c33248c4f31ea007fa7"; - name = "qtdeclarative-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtdeclarative-everywhere-src-5.12.4.tar.xz"; + sha256 = "614105ed73079d67d81b34fef31c9934c5e751342e4b2e0297128c8c301acda7"; + name = "qtdeclarative-everywhere-src-5.12.4.tar.xz"; }; }; qtdoc = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtdoc-everywhere-src-5.12.3.tar.xz"; - sha256 = "ce5e9d0f48d108c48d742ab2127ead735270d7b525103c6cf409683d7fc8334f"; - name = "qtdoc-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtdoc-everywhere-src-5.12.4.tar.xz"; + sha256 = "93e6cb6abc0dad3a831a6e2c46d950bd7a99b59d60ce2d2b81c2ce893bfb41bb"; + name = "qtdoc-everywhere-src-5.12.4.tar.xz"; }; }; qtgamepad = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtgamepad-everywhere-src-5.12.3.tar.xz"; - sha256 = "5d046869e9646912936e3622efa755d85ccc8eddba91f5b12880cfb5e6489642"; - name = "qtgamepad-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtgamepad-everywhere-src-5.12.4.tar.xz"; + sha256 = "25de6f10fb18f2484d1e569688bf33deb90ecbfb97ce41c2b5fb3521146e4c45"; + name = "qtgamepad-everywhere-src-5.12.4.tar.xz"; }; }; qtgraphicaleffects = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtgraphicaleffects-everywhere-src-5.12.3.tar.xz"; - sha256 = "772c98a009cc82ac290f868906c5aa719e4608ef3c5905d69ef7402b15924a73"; - name = "qtgraphicaleffects-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtgraphicaleffects-everywhere-src-5.12.4.tar.xz"; + sha256 = "0bc38b168fa724411984525173d667aa47076c8cbd4eeb791d0da7fe4b9bdf73"; + name = "qtgraphicaleffects-everywhere-src-5.12.4.tar.xz"; }; }; qtimageformats = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtimageformats-everywhere-src-5.12.3.tar.xz"; - sha256 = "db5a9e784f9c327c1e6830b1550311024cc91202d3b8dde82cd0944164298be2"; - name = "qtimageformats-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtimageformats-everywhere-src-5.12.4.tar.xz"; + sha256 = "2dee25c3eea90d172cbd40f41450153322b902da1daa7d2370a55124b2307bb3"; + name = "qtimageformats-everywhere-src-5.12.4.tar.xz"; }; }; qtlocation = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtlocation-everywhere-src-5.12.3.tar.xz"; - sha256 = "52d589be2852ada0c000b06cc411b61e521cd0797470be567fd1625bcc9d75c6"; - name = "qtlocation-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtlocation-everywhere-src-5.12.4.tar.xz"; + sha256 = "127b40bd7679fead3fb98f4c9c1d71dde9d6d416e90a6000129b61a5f128b3a0"; + name = "qtlocation-everywhere-src-5.12.4.tar.xz"; }; }; qtmacextras = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtmacextras-everywhere-src-5.12.3.tar.xz"; - sha256 = "38dedd29d07ea9e4e92a7ef28f9e03c06cf9a1525aee4f8084310c519f5b47ed"; - name = "qtmacextras-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtmacextras-everywhere-src-5.12.4.tar.xz"; + sha256 = "3ea0b94f9b63e801f2ddafa2a908002d9529a3c65021d261627d21e07454acde"; + name = "qtmacextras-everywhere-src-5.12.4.tar.xz"; }; }; qtmultimedia = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtmultimedia-everywhere-src-5.12.3.tar.xz"; - sha256 = "a30beeb37fb284d93522e29c01fb8d12726f40e9248e80b70b1f8ab60197a301"; - name = "qtmultimedia-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtmultimedia-everywhere-src-5.12.4.tar.xz"; + sha256 = "7c0759ab6fca2480b10b71a35beeffe0b847adeff5af94eacd1a4531d033423d"; + name = "qtmultimedia-everywhere-src-5.12.4.tar.xz"; }; }; qtnetworkauth = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtnetworkauth-everywhere-src-5.12.3.tar.xz"; - sha256 = "dd6bf334be29fb82adaeecb184779328b4ad33a069528b9954d9c07f2d889332"; - name = "qtnetworkauth-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtnetworkauth-everywhere-src-5.12.4.tar.xz"; + sha256 = "e501eb46b8405a2b7db9fe90a1c224cf6676a07dc22c0662317ffe3dee1dbf55"; + name = "qtnetworkauth-everywhere-src-5.12.4.tar.xz"; }; }; qtpurchasing = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtpurchasing-everywhere-src-5.12.3.tar.xz"; - sha256 = "a848f1e1022af38571f5ab0c4ec4b904c12fa6ef19154d44abbcaeb35156753e"; - name = "qtpurchasing-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtpurchasing-everywhere-src-5.12.4.tar.xz"; + sha256 = "7804a111043d0e8d6d81a0d0ae465ce2c36eca73f2774ccb5fa7be8670211672"; + name = "qtpurchasing-everywhere-src-5.12.4.tar.xz"; }; }; qtquickcontrols = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtquickcontrols-everywhere-src-5.12.3.tar.xz"; - sha256 = "68ae03b35eaa44a24c3f663b842252053c9f2b00b18841fd39ff7d2150986f46"; - name = "qtquickcontrols-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtquickcontrols-everywhere-src-5.12.4.tar.xz"; + sha256 = "32d4c2505337c67b0bac26d7f565ec8fabdc616e61247e98674820769dda9858"; + name = "qtquickcontrols-everywhere-src-5.12.4.tar.xz"; }; }; qtquickcontrols2 = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtquickcontrols2-everywhere-src-5.12.3.tar.xz"; - sha256 = "e855e8369c3cb5a2ebcd2028a2a195ba73945fd9d5bc26134706c2fa14e99b3a"; - name = "qtquickcontrols2-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtquickcontrols2-everywhere-src-5.12.4.tar.xz"; + sha256 = "9a447eed38bc8c7d7be7bc407317f58940377c077ddca74c9a641b1ee6200331"; + name = "qtquickcontrols2-everywhere-src-5.12.4.tar.xz"; }; }; qtremoteobjects = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtremoteobjects-everywhere-src-5.12.3.tar.xz"; - sha256 = "3475a409127739930e0bf833cea5f7f605adc66ab25fac39b72ce4bf3039cc42"; - name = "qtremoteobjects-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtremoteobjects-everywhere-src-5.12.4.tar.xz"; + sha256 = "54dd0c782abff90bf0608771c2e90b36073d9bd8d6c61706a2873bb7c317f413"; + name = "qtremoteobjects-everywhere-src-5.12.4.tar.xz"; }; }; qtscript = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtscript-everywhere-src-5.12.3.tar.xz"; - sha256 = "0f37bf032a2370bd08667aad053f5a57717ea49596c16bf6cfb32b0d6e5c1f9e"; - name = "qtscript-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtscript-everywhere-src-5.12.4.tar.xz"; + sha256 = "7adb3fe77638c7a6f2a26bca850b0ff54f5fb7e5561d2e4141d14a84305c2b6a"; + name = "qtscript-everywhere-src-5.12.4.tar.xz"; }; }; qtscxml = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtscxml-everywhere-src-5.12.3.tar.xz"; - sha256 = "70c4b1f8e23560cf54e69aeb3ded4078434e6f78e1b9573fbad1ddace5fc4b19"; - name = "qtscxml-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtscxml-everywhere-src-5.12.4.tar.xz"; + sha256 = "696fb72a62018151275fe589fc80cb160d2becab9a3254321d40e2e11a0ad4f8"; + name = "qtscxml-everywhere-src-5.12.4.tar.xz"; }; }; qtsensors = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtsensors-everywhere-src-5.12.3.tar.xz"; - sha256 = "7f63fedf60fdf110a3fc529568c7226d7acd59cc5eaee908f4d5a969e34005fc"; - name = "qtsensors-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtsensors-everywhere-src-5.12.4.tar.xz"; + sha256 = "95873c7ea5960008d6eb41368ca64d68fbd05594ca8c2cd848b1612fc4aec0a9"; + name = "qtsensors-everywhere-src-5.12.4.tar.xz"; }; }; qtserialbus = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtserialbus-everywhere-src-5.12.3.tar.xz"; - sha256 = "792cd2d411d2ebd737f5d09580f8db479cd35f2f7e7cedb4412075ef20fcfe4d"; - name = "qtserialbus-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtserialbus-everywhere-src-5.12.4.tar.xz"; + sha256 = "69d56905f43ee13e670750e8f46d373835fae81d6343baa7c4004d2a2c6311fc"; + name = "qtserialbus-everywhere-src-5.12.4.tar.xz"; }; }; qtserialport = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtserialport-everywhere-src-5.12.3.tar.xz"; - sha256 = "1faf7df4a1f9028bef1ce79330badb4e5cbbba9f717c53cafc5aea41eed1de51"; - name = "qtserialport-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtserialport-everywhere-src-5.12.4.tar.xz"; + sha256 = "bf487df8a9fb2eddf103842b57a75b17ef4c498ee40306ae9997017c82b0ad39"; + name = "qtserialport-everywhere-src-5.12.4.tar.xz"; }; }; qtspeech = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtspeech-everywhere-src-5.12.3.tar.xz"; - sha256 = "ed211822765744553fb5abeb97058420668b18a50d985061d949a0e068ee64f5"; - name = "qtspeech-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtspeech-everywhere-src-5.12.4.tar.xz"; + sha256 = "2ff9660fb3f5663c9161f491d1a304db62691720136ae22c145ef6a1c94b90ec"; + name = "qtspeech-everywhere-src-5.12.4.tar.xz"; }; }; qtsvg = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtsvg-everywhere-src-5.12.3.tar.xz"; - sha256 = "f666438dbf6816b7534e539b95e3fa4405f11d7e2e2bbcde34f2db5ae0f27dc2"; - name = "qtsvg-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtsvg-everywhere-src-5.12.4.tar.xz"; + sha256 = "110812515a73c650e5ebc41305d9a243dadeb21f485aaed773e394dd84ce0d04"; + name = "qtsvg-everywhere-src-5.12.4.tar.xz"; }; }; qttools = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qttools-everywhere-src-5.12.3.tar.xz"; - sha256 = "c9e92d2f0d369e44bb1a60e9fa6d970f8d9893d653212305e04be5e6daec2cd8"; - name = "qttools-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qttools-everywhere-src-5.12.4.tar.xz"; + sha256 = "3b0e353860a9c0cd4db9eeae5f94fef8811ed7d107e3e5e97e4a557f61bd6eb6"; + name = "qttools-everywhere-src-5.12.4.tar.xz"; }; }; qttranslations = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qttranslations-everywhere-src-5.12.3.tar.xz"; - sha256 = "eefcec0a91c302548f9d948a138b8ec77d78570ce818931bd8475b1bff1205ca"; - name = "qttranslations-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qttranslations-everywhere-src-5.12.4.tar.xz"; + sha256 = "ab8dd55f5ca869cab51c3a6ce0888f854b96dc03c7f25d2bd3d2c50314ab60fb"; + name = "qttranslations-everywhere-src-5.12.4.tar.xz"; }; }; qtvirtualkeyboard = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtvirtualkeyboard-everywhere-src-5.12.3.tar.xz"; - sha256 = "7b83af4527310de4ab81146622f3a46677daabf05556d0e33a2e25ca2aa13b22"; - name = "qtvirtualkeyboard-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtvirtualkeyboard-everywhere-src-5.12.4.tar.xz"; + sha256 = "33ac0356f916995fe5a91582e12b4c4f730c705808ea3c14e75c6e350e8131e6"; + name = "qtvirtualkeyboard-everywhere-src-5.12.4.tar.xz"; }; }; qtwayland = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtwayland-everywhere-src-5.12.3.tar.xz"; - sha256 = "f0b45ad84180730e2d5a1249eb20c6357869b4b78f45eb266c2f2b17f77d86ff"; - name = "qtwayland-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtwayland-everywhere-src-5.12.4.tar.xz"; + sha256 = "2fade959c3927687134c597d85c12ba1af22129a60ab326c2dc77a648e74e6b7"; + name = "qtwayland-everywhere-src-5.12.4.tar.xz"; }; }; qtwebchannel = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtwebchannel-everywhere-src-5.12.3.tar.xz"; - sha256 = "72d1620bcc94e14caa91ddf344c84cd1288aa9479e00b1bb3b5e51f92efe088a"; - name = "qtwebchannel-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtwebchannel-everywhere-src-5.12.4.tar.xz"; + sha256 = "ab571a1b699e61a86be1a6b8d6ffd998d431c4850cc27e9a21f81fa5923bfdb7"; + name = "qtwebchannel-everywhere-src-5.12.4.tar.xz"; }; }; qtwebengine = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtwebengine-everywhere-src-5.12.3.tar.xz"; - sha256 = "3ff3bac12d75aa0f3fd993bb7077fe411f7b0e6a3993af6f8b039d48e3dc4317"; - name = "qtwebengine-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtwebengine-everywhere-src-5.12.4.tar.xz"; + sha256 = "fccf5c945412c19c3805323211b504ac8becbf191c638a2dc85ec91abfb1b331"; + name = "qtwebengine-everywhere-src-5.12.4.tar.xz"; }; }; qtwebglplugin = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtwebglplugin-everywhere-src-5.12.3.tar.xz"; - sha256 = "23da63013101e97c4e663bb4f6dbb1c7b4386679c634680d3b8d79bcc59d26b3"; - name = "qtwebglplugin-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtwebglplugin-everywhere-src-5.12.4.tar.xz"; + sha256 = "756fa09893618029bb56605be3ac5756a1834255fb223f8e4b7de205846d3266"; + name = "qtwebglplugin-everywhere-src-5.12.4.tar.xz"; }; }; qtwebsockets = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtwebsockets-everywhere-src-5.12.3.tar.xz"; - sha256 = "258883225c5e089015c4036f31019aa8f5bb013ecd8eecd193342e606319a577"; - name = "qtwebsockets-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtwebsockets-everywhere-src-5.12.4.tar.xz"; + sha256 = "b471eda2f486d21c51fc3bc53bb8844022117e746d5f15c5eabb82cd37eb2abe"; + name = "qtwebsockets-everywhere-src-5.12.4.tar.xz"; }; }; qtwebview = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtwebview-everywhere-src-5.12.3.tar.xz"; - sha256 = "f904e7fd7e755527e5bc4633c6f7c144065a3ffea473bf01fffb730385a983c5"; - name = "qtwebview-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtwebview-everywhere-src-5.12.4.tar.xz"; + sha256 = "1f244c6b774dd9d03d3c5cafe877381900b50a2775cef6487c8bb66e32ab5a5d"; + name = "qtwebview-everywhere-src-5.12.4.tar.xz"; }; }; qtwinextras = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtwinextras-everywhere-src-5.12.3.tar.xz"; - sha256 = "2b6319f7dd19fc19b028685c163a69f0a10e610d7554411d4660c1b5e42ada3b"; - name = "qtwinextras-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtwinextras-everywhere-src-5.12.4.tar.xz"; + sha256 = "f6e0172582a499d5e50c51877552d1a3bff66546d9a02e5754100a51b192973f"; + name = "qtwinextras-everywhere-src-5.12.4.tar.xz"; }; }; qtx11extras = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtx11extras-everywhere-src-5.12.3.tar.xz"; - sha256 = "85e3ae5177970c2d8656226d7535d0dff5764c100e55a79a59161d80754ba613"; - name = "qtx11extras-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtx11extras-everywhere-src-5.12.4.tar.xz"; + sha256 = "49cc009eaf4a01ca7dbe12651ef39de9a43860acb674aec372e70b209f9bae1e"; + name = "qtx11extras-everywhere-src-5.12.4.tar.xz"; }; }; qtxmlpatterns = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtxmlpatterns-everywhere-src-5.12.3.tar.xz"; - sha256 = "e0b98e7c92cd791a9b354d090788347db78f14c47579384fe22d0b650c1d8a61"; - name = "qtxmlpatterns-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtxmlpatterns-everywhere-src-5.12.4.tar.xz"; + sha256 = "0bea1719bb948f65cbed4375cc3e997a6464f35d25b631bafbd7a3161f8f5666"; + name = "qtxmlpatterns-everywhere-src-5.12.4.tar.xz"; }; }; } diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.9/default.nix b/nixpkgs/pkgs/development/libraries/qt-5/5.9/default.nix index a872f212ff7..8854ed54700 100644 --- a/nixpkgs/pkgs/development/libraries/qt-5/5.9/default.nix +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.9/default.nix @@ -53,9 +53,18 @@ let ]; qtserialport = [ ./qtserialport.patch ]; qttools = [ ./qttools.patch ]; - qtwebengine = [ ./qtwebengine-no-build-skip.patch ] - ++ optional stdenv.cc.isClang ./qtwebengine-clang-fix.patch + qtwebengine = [ + ./qtwebengine-no-build-skip.patch + # https://gitlab.freedesktop.org/pulseaudio/pulseaudio/issues/707 + # https://bugreports.qt.io/browse/QTBUG-77037 + (fetchpatch { + name = "fix-build-with-pulseaudio-13.0.patch"; + url = "https://git.archlinux.org/svntogit/packages.git/plain/trunk/qtbug-77037-workaround.patch?h=packages/qt5-webengine&id=fc77d6b3d5ec74e421b58f199efceb2593cbf951"; + sha256 = "1gv733qfdn9746nbqqxzyjx4ijjqkkb7zb71nxax49nna5bri3am"; + }) + ] ++ optional stdenv.cc.isClang ./qtwebengine-clang-fix.patch ++ optional stdenv.isDarwin ./qtwebengine-darwin-no-platform-check.patch; + qtwebkit = [ ./qtwebkit.patch ]; qtvirtualkeyboard = [ (fetchpatch { @@ -154,9 +163,7 @@ let qmake = makeSetupHook { deps = [ self.qtbase.dev ]; substitutions = { - inherit (stdenv) isDarwin; - qtbase_dev = self.qtbase.dev; - fix_qt_builtin_paths = ../hooks/fix-qt-builtin-paths.sh; + fix_qmake_libtool = ../hooks/fix-qmake-libtool.sh; }; } ../hooks/qmake-hook.sh; diff --git a/nixpkgs/pkgs/development/libraries/qt-5/hooks/fix-qmake-libtool.sh b/nixpkgs/pkgs/development/libraries/qt-5/hooks/fix-qmake-libtool.sh new file mode 100644 index 00000000000..5acaeb82446 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/hooks/fix-qmake-libtool.sh @@ -0,0 +1,14 @@ +# Fix libtool libraries generated by qmake. +# qmake started inserting filenames of shared objects instead of the appropriate +# linker flags. fixQmakeLibtool searches for broken libtool libraries and +# replaces the filenames with the linker flags that should have been there. +fixQmakeLibtool() { + if [ -d "$1" ]; then + find "$1" -name '*.la' | while read la; do + sed -i "$la" \ + -e '/^dependency_libs/ s,\(/[^ ]\+\)/lib\([^/ ]\+\)\.so,-L\1 -l\2,g' + done + fi +} + +fixupOutputHooks+=('fixQmakeLibtool $prefix') diff --git a/nixpkgs/pkgs/development/libraries/qt-5/hooks/qmake-hook.sh b/nixpkgs/pkgs/development/libraries/qt-5/hooks/qmake-hook.sh index eef2c7d24df..c3373983e32 100644 --- a/nixpkgs/pkgs/development/libraries/qt-5/hooks/qmake-hook.sh +++ b/nixpkgs/pkgs/development/libraries/qt-5/hooks/qmake-hook.sh @@ -1,14 +1,27 @@ +. @fix_qmake_libtool@ + +qmakeFlags=( $qmakeFlags ) + +qmakePrePhase() { + qmakeFlags=( \ + "PREFIX=$out" \ + "NIX_OUTPUT_OUT=$out" \ + "NIX_OUTPUT_DEV=${!outputDev}" \ + "NIX_OUTPUT_BIN=${!outputBin}" \ + "NIX_OUTPUT_DOC=${!outputDev}/${qtDocPrefix:?}" \ + "NIX_OUTPUT_QML=${!outputBin}/${qtQmlPrefix:?}" \ + "NIX_OUTPUT_PLUGIN=${!outputBin}/${qtPluginPrefix:?}" \ + "${qmakeFlags[@]}" \ + ) +} +prePhases+=" qmakePrePhase" + qmakeConfigurePhase() { runHook preConfigure - qmake PREFIX=$out \ - NIX_OUTPUT_OUT=$out \ - NIX_OUTPUT_DEV=${!outputDev} \ - NIX_OUTPUT_BIN=${!outputBin} \ - NIX_OUTPUT_DOC=${!outputDev}/${qtDocPrefix:?} \ - NIX_OUTPUT_QML=${!outputBin}/${qtQmlPrefix:?} \ - NIX_OUTPUT_PLUGIN=${!outputBin}/${qtPluginPrefix:?} \ - $qmakeFlags + echo "QMAKEPATH=$QMAKEPATH" + echo qmake "${qmakeFlags[@]}" + qmake "${qmakeFlags[@]}" if ! [[ -v enableParallelBuilding ]]; then enableParallelBuilding=1 diff --git a/nixpkgs/pkgs/development/libraries/qt-5/hooks/qttools-setup-hook.sh b/nixpkgs/pkgs/development/libraries/qt-5/hooks/qttools-setup-hook.sh index b09cf5f46c9..c320a797447 100644 --- a/nixpkgs/pkgs/development/libraries/qt-5/hooks/qttools-setup-hook.sh +++ b/nixpkgs/pkgs/development/libraries/qt-5/hooks/qttools-setup-hook.sh @@ -1 +1 @@ -qmakeFlags="$qmakeFlags${qmakeFlags:+ }QMAKE_LRELEASE=@dev@/bin/lrelease" +qmakeFlags+=( "QMAKE_LRELEASE=@dev@/bin/lrelease" ) diff --git a/nixpkgs/pkgs/development/libraries/qt-5/modules/qtbase.nix b/nixpkgs/pkgs/development/libraries/qt-5/modules/qtbase.nix index 8119513618b..3a0c9085f54 100644 --- a/nixpkgs/pkgs/development/libraries/qt-5/modules/qtbase.nix +++ b/nixpkgs/pkgs/development/libraries/qt-5/modules/qtbase.nix @@ -31,6 +31,8 @@ assert withGtk3 -> gtk3 != null; let compareVersion = v: builtins.compareVersions version v; + qmakeCacheName = + if compareVersion "5.12.4" < 0 then ".qmake.cache" else ".qmake.stash"; in stdenv.mkDerivation { @@ -47,7 +49,7 @@ stdenv.mkDerivation { # Image formats libjpeg libpng libtiff - (if compareVersion "5.9.0" >= 0 then pcre2 else pcre16) + (if compareVersion "5.9.0" < 0 then pcre16 else pcre2) ] ++ ( if stdenv.isDarwin @@ -98,6 +100,7 @@ stdenv.mkDerivation { . "$fix_qt_builtin_paths" . "$fix_qt_module_paths" . ${../hooks/move-qt-dev-tools.sh} + . ${../hooks/fix-qmake-libtool.sh} ''; postPatch = @@ -171,8 +174,17 @@ stdenv.mkDerivation { -qmldir $out/$qtQmlPrefix \ -docdir $out/$qtDocPrefix" - createQmakeCache() { - cat >>"$1" <<EOF + NIX_CFLAGS_COMPILE+=" -DNIXPKGS_QT_PLUGIN_PREFIX=\"$qtPluginPrefix\"" + ''; + + postConfigure = '' + qmakeCacheInjectNixOutputs() { + local cache="$1/${qmakeCacheName}" + echo "qmakeCacheInjectNixOutputs: $cache" + if ! [ -f "$cache" ]; then + echo >&2 "qmakeCacheInjectNixOutputs: WARNING: $cache does not exist" + fi + cat >>"$cache" <<EOF NIX_OUTPUT_BIN = $bin NIX_OUTPUT_DEV = $dev NIX_OUTPUT_OUT = $out @@ -183,15 +195,10 @@ stdenv.mkDerivation { } find . -name '.qmake.conf' | while read conf; do - cache=$(dirname $conf)/.qmake.cache - echo "Creating \`$cache'" - createQmakeCache "$cache" + qmakeCacheInjectNixOutputs "$(dirname $conf)" done - - NIX_CFLAGS_COMPILE+=" -DNIXPKGS_QT_PLUGIN_PREFIX=\"$qtPluginPrefix\"" ''; - NIX_CFLAGS_COMPILE = [ "-Wno-error=sign-compare" # freetype-2.5.4 changed signedness of some struct fields @@ -392,13 +399,11 @@ stdenv.mkDerivation { moveToOutput bin "$dev" '' - + ( - # fixup .pc file (where to find 'moc' etc.) - '' - sed -i "$dev/lib/pkgconfig/Qt5Core.pc" \ - -e "/^host_bins=/ c host_bins=$dev/bin" - '' - ); + # fixup .pc file (where to find 'moc' etc.) + + '' + sed -i "$dev/lib/pkgconfig/Qt5Core.pc" \ + -e "/^host_bins=/ c host_bins=$dev/bin" + ''; setupHook = ../hooks/qtbase-setup-hook.sh; diff --git a/nixpkgs/pkgs/development/libraries/raft-canonical/default.nix b/nixpkgs/pkgs/development/libraries/raft-canonical/default.nix new file mode 100644 index 00000000000..515fbc602d9 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/raft-canonical/default.nix @@ -0,0 +1,44 @@ +{ stdenv, fetchFromGitHub, autoreconfHook, pkgconfig, file, libuv }: + +stdenv.mkDerivation rec { + pname = "raft-canonical"; + version = "0.9.6"; + + src = fetchFromGitHub { + owner = "canonical"; + repo = "raft"; + rev = "v${version}"; + sha256 = "083il7b5kw3pc7m5p9xjpb9dlvfarc51sni92mkgm9ckc32x9vpp"; + }; + + nativeBuildInputs = [ autoreconfHook file pkgconfig ]; + buildInputs = [ libuv ]; + + preConfigure = '' + substituteInPlace configure --replace /usr/bin/ " " + ''; + + doCheck = false; + # Due to + #io_uv_recv/success/first [ ERROR ] + #Error: test/lib/dir.c:97: No such file or directory + #Error: child killed by signal 6 (Aborted) + + outputs = [ "dev" "out" ]; + + meta = with stdenv.lib; { + description = '' + Fully asynchronous C implementation of the Raft consensus protocol + ''; + longDescription = '' + The library has modular design: its core part implements only the core + Raft algorithm logic, in a fully platform independent way. On top of + that, a pluggable interface defines the I/O implementation for networking + (send/receive RPC messages) and disk persistence (store log entries and + snapshots). + ''; + homepage = "https://github.com/canonical/raft"; + license = licenses.asl20; + maintainers = [ maintainers.wucke13 ]; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/science/math/mkl/default.nix b/nixpkgs/pkgs/development/libraries/science/math/mkl/default.nix index 2dcac58bf6c..5700b2118b9 100644 --- a/nixpkgs/pkgs/development/libraries/science/math/mkl/default.nix +++ b/nixpkgs/pkgs/development/libraries/science/math/mkl/default.nix @@ -3,7 +3,7 @@ For details on using mkl as a blas provider for python packages such as numpy, numexpr, scipy, etc., see the Python section of the NixPkgs manual. */ -stdenvNoCC.mkDerivation (rec { +stdenvNoCC.mkDerivation rec { name = "mkl-${version}"; version = "${date}.${rel}"; date = "2019.3"; @@ -35,11 +35,25 @@ stdenvNoCC.mkDerivation (rec { done '' else '' rpmextract rpm/intel-mkl-common-c-${date}-${rel}-${date}-${rel}.noarch.rpm + rpmextract rpm/intel-mkl-core-${date}-${rel}-${date}-${rel}.x86_64.rpm rpmextract rpm/intel-mkl-core-rt-${date}-${rel}-${date}-${rel}.x86_64.rpm rpmextract rpm/intel-openmp-19.0.3-${rel}-19.0.3-${rel}.x86_64.rpm ''; - installPhase = if stdenvNoCC.isDarwin then '' + installPhase = '' + for f in $(find . -name 'mkl*.pc') ; do + bn=$(basename $f) + substituteInPlace $f \ + --replace "prefix=<INSTALLDIR>/mkl" "prefix=$out" \ + --replace "lib/intel64_lin" "lib" + done + + for f in $(find opt/intel -name 'mkl*iomp.pc') ; do + substituteInPlace $f \ + --replace "../compiler/lib" "lib" + done + '' + + (if stdenvNoCC.isDarwin then '' mkdir -p $out/lib cp -r compilers_and_libraries_${version}/mac/mkl/include $out/ @@ -48,6 +62,9 @@ stdenvNoCC.mkDerivation (rec { cp -r compilers_and_libraries_${version}/mac/compiler/lib/* $out/lib/ cp -r compilers_and_libraries_${version}/mac/mkl/lib/* $out/lib/ cp -r compilers_and_libraries_${version}/mac/tbb/lib/* $out/lib/ + + mkdir -p $out/lib/pkgconfig + cp -r compilers_and_libraries_${version}/mac/mkl/bin/pkgconfig/* $out/lib/pkgconfig '' else '' mkdir -p $out/lib @@ -56,7 +73,10 @@ stdenvNoCC.mkDerivation (rec { cp -r opt/intel/compilers_and_libraries_${version}/linux/compiler/lib/intel64_lin/* $out/lib/ cp -r opt/intel/compilers_and_libraries_${version}/linux/mkl/lib/intel64_lin/* $out/lib/ cp license.txt $out/lib/ - ''; + + mkdir -p $out/lib/pkgconfig + cp -r opt/intel/compilers_and_libraries_${version}/linux/mkl/bin/pkgconfig/* $out/lib/pkgconfig + ''); # fixDarwinDylibName fails for libmkl_cdft_core.dylib because the # larger updated load commands do not fit. Use install_name_tool @@ -87,10 +107,4 @@ stdenvNoCC.mkDerivation (rec { platforms = [ "x86_64-linux" "x86_64-darwin" ]; maintainers = [ maintainers.bhipple ]; }; - } // stdenvNoCC.lib.optionalAttrs stdenvNoCC.isLinux { - # Since on Linux binaries are unmodified, we can make them - # fixed-output derivations. - outputHashAlgo = "sha256"; - outputHashMode = "recursive"; - outputHash = "101krzh2mjbfx8kvxim2zphdvgg7iijhbf9xdz3ad3ncgybxbdvw"; - }) +} diff --git a/nixpkgs/pkgs/development/libraries/spandsp/default.nix b/nixpkgs/pkgs/development/libraries/spandsp/default.nix index 407e3d5e235..ba1d3e992d6 100644 --- a/nixpkgs/pkgs/development/libraries/spandsp/default.nix +++ b/nixpkgs/pkgs/development/libraries/spandsp/default.nix @@ -6,6 +6,9 @@ stdenv.mkDerivation rec { url = "https://www.soft-switch.org/downloads/spandsp/spandsp-${version}.tar.gz"; sha256 = "0rclrkyspzk575v8fslzjpgp4y2s4x7xk3r55ycvpi4agv33l1fc"; }; + + outputs = [ "out" "dev" ]; + propagatedBuildInputs = [audiofile libtiff]; meta = { homepage = http://www.creytiv.com/baresip.html; diff --git a/nixpkgs/pkgs/development/libraries/srtp/default.nix b/nixpkgs/pkgs/development/libraries/srtp/default.nix index 3940faf1d6d..129d57904d1 100644 --- a/nixpkgs/pkgs/development/libraries/srtp/default.nix +++ b/nixpkgs/pkgs/development/libraries/srtp/default.nix @@ -14,6 +14,8 @@ stdenv.mkDerivation rec { sha256 = "1ac7xs1djb03j131f1gmqyfmrplblid9qqyxahs0shdy707r5ll6"; }; + outputs = [ "out" "dev" ]; + nativeBuildInputs = [ pkgconfig ]; # libsrtp.pc references -lcrypto -lpcap without -L diff --git a/nixpkgs/pkgs/development/libraries/symengine/default.nix b/nixpkgs/pkgs/development/libraries/symengine/default.nix index 47b93ab373a..a41b086016b 100644 --- a/nixpkgs/pkgs/development/libraries/symengine/default.nix +++ b/nixpkgs/pkgs/development/libraries/symengine/default.nix @@ -9,13 +9,13 @@ stdenv.mkDerivation rec { pname = "symengine"; - version = "0.4.1"; + version = "0.5.0"; src = fetchFromGitHub { owner = "symengine"; repo = "symengine"; rev = "v${version}"; - sha256 = "0qqxr7l5lr81k6fs2h5isr43x0dw07rwg3ivl6vd8zmmcgsp9ygd"; + sha256 = "1zgfhqv43qcfkfdyf1p82bcfv05n6iix6yw6qx1y5bnb7dv74irw"; }; nativeBuildInputs = [ cmake ]; diff --git a/nixpkgs/pkgs/development/libraries/tracker-miners/default.nix b/nixpkgs/pkgs/development/libraries/tracker-miners/default.nix index 99374b572c6..3f171f47e79 100644 --- a/nixpkgs/pkgs/development/libraries/tracker-miners/default.nix +++ b/nixpkgs/pkgs/development/libraries/tracker-miners/default.nix @@ -45,11 +45,11 @@ stdenv.mkDerivation rec { pname = "tracker-miners"; - version = "2.3.0"; + version = "2.3.1"; src = fetchurl { url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "1ky7hd0vms1z40a0y9wq9pg3l7gxh4p6a0ngmnjqh8p23qfxdrxd"; + sha256 = "1q4hlpl3nkr0y13rzkwryyajnpy5s661z8n82dw1rskrg9mf07bv"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/libraries/tracker/default.nix b/nixpkgs/pkgs/development/libraries/tracker/default.nix index 4add8046de1..79b0b2e9203 100644 --- a/nixpkgs/pkgs/development/libraries/tracker/default.nix +++ b/nixpkgs/pkgs/development/libraries/tracker/default.nix @@ -1,18 +1,18 @@ { stdenv, fetchurl, gettext, meson, ninja, pkgconfig, gobject-introspection, python3 , gtk-doc, docbook_xsl, docbook_xml_dtd_412, docbook_xml_dtd_43, glibcLocales , libxml2, upower, glib, wrapGAppsHook, vala, sqlite, libxslt, libstemmer -, gnome3, icu, libuuid, networkmanager, libsoup, json-glib, systemd +, gnome3, icu, libuuid, networkmanager, libsoup, json-glib, systemd, dbus , substituteAll }: stdenv.mkDerivation rec { pname = "tracker"; - version = "2.3.0"; + version = "2.3.1"; outputs = [ "out" "dev" "devdoc" ]; src = fetchurl { url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "0yxzqvjm3ij28p6g8jc4pd90yhhslmykcvi1cnyb069lm16m611c"; + sha256 = "1888vyz2l5n46ywb70fryd0qipyh3x5n6q0mk56jzbb5whk8fx5n"; }; nativeBuildInputs = [ @@ -20,6 +20,7 @@ stdenv.mkDerivation rec { gtk-doc docbook_xsl docbook_xml_dtd_412 docbook_xml_dtd_43 glibcLocales python3 # for data-generators systemd # used for checks to install systemd user service + dbus # used for checks and pkgconfig to install dbus service/s ]; buildInputs = [ diff --git a/nixpkgs/pkgs/development/libraries/tremor/default.nix b/nixpkgs/pkgs/development/libraries/tremor/default.nix index 29133607689..91b6d2cb167 100644 --- a/nixpkgs/pkgs/development/libraries/tremor/default.nix +++ b/nixpkgs/pkgs/development/libraries/tremor/default.nix @@ -9,6 +9,8 @@ stdenv.mkDerivation { sha256 = "0m07gq4zfgigsiz8b518xyb19v7qqp76qmp7lb262825vkqzl3zq"; }; + outputs = [ "out" "dev" ]; + nativeBuildInputs = [ autoreconfHook pkgconfig ]; propagatedBuildInputs = [ libogg ]; diff --git a/nixpkgs/pkgs/development/libraries/vte/default.nix b/nixpkgs/pkgs/development/libraries/vte/default.nix index 0738eaf7157..0d5686ccc0a 100644 --- a/nixpkgs/pkgs/development/libraries/vte/default.nix +++ b/nixpkgs/pkgs/development/libraries/vte/default.nix @@ -20,13 +20,13 @@ stdenv.mkDerivation rec { pname = "vte"; - version = "0.58.1"; + version = "0.58.2"; outputs = [ "out" "dev" ]; src = fetchurl { url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "1njq88a8956xpmakkd1ph992jmpaimk8zjlh5ywh5psr33x8mi94"; + sha256 = "1h1bljr090cgnzim00q4pnsmjqblzn1sig3d87wv1hzjn796dj9k"; }; passthru = { diff --git a/nixpkgs/pkgs/development/libraries/wt/default.nix b/nixpkgs/pkgs/development/libraries/wt/default.nix index 31fa104fb05..b5a7bae68ea 100644 --- a/nixpkgs/pkgs/development/libraries/wt/default.nix +++ b/nixpkgs/pkgs/development/libraries/wt/default.nix @@ -27,13 +27,16 @@ let ]; cmakeFlags = [ - "-DWT_WRASTERIMAGE_IMPLEMENTATION=GraphicsMagick" "-DWT_CPP_11_MODE=-std=c++11" - "-DGM_PREFIX=${graphicsmagick}" - "-DMYSQL_PREFIX=${libmysqlclient}" "-DHARFBUZZ_INCLUDE_DIR=${harfbuzz.dev}/include" "--no-warn-unused-cli" - ]; + ] + ++ stdenv.lib.optionals (graphicsmagick != null) [ + "-DWT_WRASTERIMAGE_IMPLEMENTATION=GraphicsMagick" + "-DGM_PREFIX=${graphicsmagick}" + ] + ++ stdenv.lib.optional (libmysqlclient != null) + "-DMYSQL_PREFIX=${libmysqlclient}"; meta = with stdenv.lib; { homepage = "https://www.webtoolkit.eu/wt"; diff --git a/nixpkgs/pkgs/development/libraries/zziplib/default.nix b/nixpkgs/pkgs/development/libraries/zziplib/default.nix index 8b199d3e89a..74bfeb09c0d 100644 --- a/nixpkgs/pkgs/development/libraries/zziplib/default.nix +++ b/nixpkgs/pkgs/development/libraries/zziplib/default.nix @@ -15,6 +15,22 @@ stdenv.mkDerivation rec { url = "https://github.com/gdraheim/zziplib/commit/f609ae8971f3c0ce6.diff"; sha256 = "0jhiz4fgr93wzh6q03avn95b2nsf6402jaki6hxirxyhs5v9ahry"; }) + + (fetchpatch { + name = "CVE-2018-16548-part1.patch"; + url = "https://github.com/gdraheim/zziplib/commit/9411bde3e4a70a81ff3ffd256b71927b2d90dcbb.patch"; + sha256 = "0cy8i182zbvcqzs5z2j13d5sl7hbh59pkgw4xkyg5yz739q4fp9b"; + }) + (fetchpatch { + name = "CVE-2018-16548-part2.patch"; + url = "https://github.com/gdraheim/zziplib/commit/d2e5d5c53212e54a97ad64b793a4389193fec687.patch"; + sha256 = "153wd4vab8xqj9avcpx8g2zw9qsp9nkaqi7yc65pz3r7xfcxwdla"; + }) + (fetchpatch { + name = "CVE-2018-16548-part3.patch"; + url = "https://github.com/gdraheim/zziplib/commit/0e1dadb05c1473b9df2d7b8f298dab801778ef99.patch"; + sha256 = "0fs6dns8l7dz5a900397g8b7x62z72b0pbpdmwk1hnx6vb7z5rz5"; + }) ]; postPatch = '' sed -i -e s,--export-dynamic,, configure diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/_3bmd.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/_3bmd.nix index 729f5937117..a5fddd417fa 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/_3bmd.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/_3bmd.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''_3bmd''; version = ''20171019-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/alexandria.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/alexandria.nix index 15b907e341e..3ed0c3eca78 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/alexandria.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/alexandria.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''alexandria''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/anaphora.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/anaphora.nix index 5921b5f49b1..61a07c61ca0 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/anaphora.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/anaphora.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''anaphora''; version = ''20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/array-utils.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/array-utils.nix index 988b247482b..efa5dc6e769 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/array-utils.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/array-utils.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''array-utils''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/asdf-system-connections.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/asdf-system-connections.nix index 1d1ef41f2d1..65df45d95a5 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/asdf-system-connections.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/asdf-system-connections.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''asdf-system-connections''; version = ''20170124-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/babel.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/babel.nix index d8a12f6edbe..4cba3e86e06 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/babel.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/babel.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''babel''; version = ''20171227-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/blackbird.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/blackbird.nix index d7cebabb0ad..a660882f36b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/blackbird.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/blackbird.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''blackbird''; version = ''20160531-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/bordeaux-threads.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/bordeaux-threads.nix index 530a3b76d30..c5305587a02 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/bordeaux-threads.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/bordeaux-threads.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''bordeaux-threads''; version = ''v0.8.6''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/buildnode-xhtml.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/buildnode-xhtml.nix index 71a7a1894a2..5d7f3f2dd10 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/buildnode-xhtml.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/buildnode-xhtml.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''buildnode-xhtml''; version = ''buildnode-20170403-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/buildnode.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/buildnode.nix index 26d907c2524..0a2e56a9c9b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/buildnode.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/buildnode.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''buildnode''; version = ''20170403-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/caveman.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/caveman.nix index d159ce49581..6cf81070734 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/caveman.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/caveman.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''caveman''; version = ''20181210-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi-grovel.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi-grovel.nix index c4e3b9b5734..a7d22ac129b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi-grovel.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi-grovel.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cffi-grovel''; version = ''cffi_0.20.1''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi-toolchain.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi-toolchain.nix index db85c50a5c1..3d246380607 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi-toolchain.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi-toolchain.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cffi-toolchain''; version = ''cffi_0.20.1''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi.nix index 08115ea3a3a..b83b1461197 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cffi''; version = ''cffi_0.20.1''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/chipz.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/chipz.nix index c9700a9d009..c30c68e53c8 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/chipz.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/chipz.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''chipz''; version = ''20190202-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/chunga.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/chunga.nix index 365ae1d6ef6..41520bf41fb 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/chunga.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/chunga.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''chunga''; version = ''20180131-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/circular-streams.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/circular-streams.nix index ea812b38c95..2e387d29833 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/circular-streams.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/circular-streams.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''circular-streams''; version = ''20161204-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-aa.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-aa.nix index 9ffc4c0d39e..531d429df24 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-aa.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-aa.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-aa''; version = ''cl-vectors-20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-annot.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-annot.nix index 5dab19a5531..5f93167a114 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-annot.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-annot.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-annot''; version = ''20150608-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-anonfun.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-anonfun.nix index 8459e32fd04..a413743eb8d 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-anonfun.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-anonfun.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-anonfun''; version = ''20111203-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ansi-text.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ansi-text.nix index 1e61d4e48e7..9b053c920e3 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ansi-text.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ansi-text.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-ansi-text''; version = ''20150804-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async-repl.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async-repl.nix index e366dc551d6..d84233c4342 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async-repl.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async-repl.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-async-repl''; version = ''cl-async-20190307-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async-ssl.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async-ssl.nix index d18c95153ff..c13b8b70647 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async-ssl.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async-ssl.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-async-ssl''; version = ''cl-async-20190307-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async.nix index 876a24055c2..363227534a8 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-async''; version = ''20190307-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-base64.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-base64.nix index 8aa43a81f19..a4a9a9261fd 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-base64.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-base64.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-base64''; version = ''20150923-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-cli.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-cli.nix index aed744e0433..36641b73b75 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-cli.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-cli.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-cli''; version = ''20151218-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-colors.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-colors.nix index 9b69bf886c0..0d0337a65ce 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-colors.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-colors.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-colors''; version = ''20180328-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-cookie.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-cookie.nix index 62f895b2027..a3aacd0aa8b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-cookie.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-cookie.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-cookie''; version = ''20150804-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-csv.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-csv.nix index 82b63ca088d..1f20b17672d 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-csv.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-csv.nix @@ -1,9 +1,9 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-csv''; version = ''20180831-git''; - parasites = [ "cl-csv/speed-test" "cl-csv/test" ]; + parasites = [ "cl-csv/test" ]; description = ''Facilities for reading and writing CSV format files''; @@ -34,4 +34,4 @@ args @ { fetchurl, ... }: (alexandria cl-interpol cl-ppcre cl-unicode flexi-streams iterate lisp-unit2 named-readtables) VERSION 20180831-git SIBLINGS (cl-csv-clsql cl-csv-data-table) PARASITES - (cl-csv/speed-test cl-csv/test)) */ + (cl-csv/test)) */ diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-dbi.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-dbi.nix index f495d7b4c82..9c258021008 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-dbi.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-dbi.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-dbi''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-emb.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-emb.nix index d6fb845aa38..1510495a4cb 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-emb.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-emb.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-emb''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fad.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fad.nix index f92d9c464cf..d032b4768dd 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fad.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fad.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-fad''; version = ''20180430-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fuse-meta-fs.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fuse-meta-fs.nix index 399abebe6e7..6a40d0fa8c9 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fuse-meta-fs.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fuse-meta-fs.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-fuse-meta-fs''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fuse.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fuse.nix index b0e6159e626..de71e2e299a 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fuse.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fuse.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-fuse''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-hooks.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-hooks.nix new file mode 100644 index 00000000000..72eafacb190 --- /dev/null +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-hooks.nix @@ -0,0 +1,34 @@ +args @ { fetchurl, ... }: +rec { + baseName = ''cl-hooks''; + version = ''architecture.hooks-20181210-git''; + + parasites = [ "cl-hooks/test" ]; + + description = ''This system provides the hooks extension point +mechanism (as known, e.g., from GNU Emacs).''; + + deps = [ args."alexandria" args."anaphora" args."closer-mop" args."fiveam" args."let-plus" args."trivial-garbage" ]; + + src = fetchurl { + url = ''http://beta.quicklisp.org/archive/architecture.hooks/2018-12-10/architecture.hooks-20181210-git.tgz''; + sha256 = ''04l8rjmgsd7i580rpm1wndz1jcvfqrmwllnkh3h7als3azi3q2ns''; + }; + + packageName = "cl-hooks"; + + asdFilesToKeep = ["cl-hooks.asd"]; + overrides = x: x; +} +/* (SYSTEM cl-hooks DESCRIPTION This system provides the hooks extension point +mechanism (as known, e.g., from GNU Emacs). + SHA256 04l8rjmgsd7i580rpm1wndz1jcvfqrmwllnkh3h7als3azi3q2ns URL + http://beta.quicklisp.org/archive/architecture.hooks/2018-12-10/architecture.hooks-20181210-git.tgz + MD5 698bdb1309cae19fb8f0e1e425ba4cd9 NAME cl-hooks FILENAME cl-hooks DEPS + ((NAME alexandria FILENAME alexandria) (NAME anaphora FILENAME anaphora) + (NAME closer-mop FILENAME closer-mop) (NAME fiveam FILENAME fiveam) + (NAME let-plus FILENAME let-plus) + (NAME trivial-garbage FILENAME trivial-garbage)) + DEPENDENCIES + (alexandria anaphora closer-mop fiveam let-plus trivial-garbage) VERSION + architecture.hooks-20181210-git SIBLINGS NIL PARASITES (cl-hooks/test)) */ diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-html-parse.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-html-parse.nix index 365360ba896..61a35f2b58c 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-html-parse.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-html-parse.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-html-parse''; version = ''20161031-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-html5-parser.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-html5-parser.nix index 7f20a171734..dde2cc31dd0 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-html5-parser.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-html5-parser.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-html5-parser''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-interpol.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-interpol.nix index feefecf75bb..b0be8c775a3 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-interpol.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-interpol.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-interpol''; version = ''20180711-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-jpeg.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-jpeg.nix index 66fb98ee0de..713aff0ea40 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-jpeg.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-jpeg.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-jpeg''; version = ''20170630-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-json.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-json.nix index 9faf2b1b388..d8bc535f355 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-json.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-json.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-json''; version = ''20141217-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-l10n-cldr.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-l10n-cldr.nix index 0c276740f90..dfabda0428f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-l10n-cldr.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-l10n-cldr.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-l10n-cldr''; version = ''20120909-darcs''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-l10n.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-l10n.nix index 1a13df67928..f37e653bc56 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-l10n.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-l10n.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-l10n''; version = ''20161204-darcs''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-libuv.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-libuv.nix index cbbc8ccb0b2..36d15673427 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-libuv.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-libuv.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-libuv''; version = ''20190107-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-markup.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-markup.nix index 7b5b7fb3e1a..b1916c27bfd 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-markup.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-markup.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-markup''; version = ''20131003-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-mysql.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-mysql.nix index c8460177a24..1590f2536e3 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-mysql.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-mysql.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-mysql''; version = ''20171019-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-paths-ttf.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-paths-ttf.nix index c096c4d2728..cfca59e3b50 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-paths-ttf.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-paths-ttf.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-paths-ttf''; version = ''cl-vectors-20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-paths.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-paths.nix index 0f0a22c97e0..e8034b11c23 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-paths.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-paths.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-paths''; version = ''cl-vectors-20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-pdf.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-pdf.nix index d54c37c2de1..babdf04e3ec 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-pdf.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-pdf.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-pdf''; version = ''20170830-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-postgres.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-postgres.nix index 952a4394ae7..efd8197bbfb 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-postgres.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-postgres.nix @@ -1,13 +1,13 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-postgres''; version = ''postmodern-20190521-git''; - parasites = [ "cl-postgres/simple-date-tests" "cl-postgres/tests" ]; + parasites = [ "cl-postgres/tests" ]; description = ''Low-level client library for PostgreSQL''; - deps = [ args."fiveam" args."md5" args."simple-date_slash_postgres-glue" args."split-sequence" args."usocket" ]; + deps = [ args."fiveam" args."md5" args."split-sequence" args."usocket" ]; src = fetchurl { url = ''http://beta.quicklisp.org/archive/postmodern/2019-05-21/postmodern-20190521-git.tgz''; @@ -25,9 +25,8 @@ args @ { fetchurl, ... }: MD5 102567f386757cd52aca500c0c348d90 NAME cl-postgres FILENAME cl-postgres DEPS ((NAME fiveam FILENAME fiveam) (NAME md5 FILENAME md5) - (NAME simple-date/postgres-glue FILENAME simple-date_slash_postgres-glue) (NAME split-sequence FILENAME split-sequence) (NAME usocket FILENAME usocket)) - DEPENDENCIES (fiveam md5 simple-date/postgres-glue split-sequence usocket) - VERSION postmodern-20190521-git SIBLINGS (postmodern s-sql simple-date) - PARASITES (cl-postgres/simple-date-tests cl-postgres/tests)) */ + DEPENDENCIES (fiveam md5 split-sequence usocket) VERSION + postmodern-20190521-git SIBLINGS (postmodern s-sql simple-date) PARASITES + (cl-postgres/tests)) */ diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre-template.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre-template.nix index c10a1500563..4c97f03870f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre-template.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre-template.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-ppcre-template''; version = ''cl-unification-20190107-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre-unicode.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre-unicode.nix index 20433b51f87..27887f12497 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre-unicode.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre-unicode.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-ppcre-unicode''; version = ''cl-ppcre-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre.nix index cfea494fc4b..8bb8fb2478d 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-ppcre''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-prevalence.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-prevalence.nix new file mode 100644 index 00000000000..46805421fa7 --- /dev/null +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-prevalence.nix @@ -0,0 +1,27 @@ +args @ { fetchurl, ... }: +rec { + baseName = ''cl-prevalence''; + version = ''20190521-git''; + + description = ''Common Lisp Prevalence Package''; + + deps = [ args."s-sysdeps" args."s-xml" ]; + + src = fetchurl { + url = ''http://beta.quicklisp.org/archive/cl-prevalence/2019-05-21/cl-prevalence-20190521-git.tgz''; + sha256 = ''16j7ccpjdidz1p6mgib06viy966ckxzgkd6xcvg96xmr4hkksljf''; + }; + + packageName = "cl-prevalence"; + + asdFilesToKeep = ["cl-prevalence.asd"]; + overrides = x: x; +} +/* (SYSTEM cl-prevalence DESCRIPTION Common Lisp Prevalence Package SHA256 + 16j7ccpjdidz1p6mgib06viy966ckxzgkd6xcvg96xmr4hkksljf URL + http://beta.quicklisp.org/archive/cl-prevalence/2019-05-21/cl-prevalence-20190521-git.tgz + MD5 6c81a4fe41bd63eef9ff8f4cc41aa6b9 NAME cl-prevalence FILENAME + cl-prevalence DEPS + ((NAME s-sysdeps FILENAME s-sysdeps) (NAME s-xml FILENAME s-xml)) + DEPENDENCIES (s-sysdeps s-xml) VERSION 20190521-git SIBLINGS + (cl-prevalence-test) PARASITES NIL) */ diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-project.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-project.nix index 1e13378b38c..496a0bd4e56 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-project.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-project.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-project''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-protobufs.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-protobufs.nix index 81f1455714d..046f910a866 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-protobufs.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-protobufs.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-protobufs''; version = ''20180328-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-reexport.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-reexport.nix index c16486754db..916af5b2972 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-reexport.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-reexport.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-reexport''; version = ''20150709-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-smtp.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-smtp.nix index 16cc2309e64..0d58e11b4d2 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-smtp.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-smtp.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-smtp''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-store.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-store.nix index b639681fd30..2aff988dfd2 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-store.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-store.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-store''; version = ''20180328-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-annot.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-annot.nix index 4639a66da78..add200b09a1 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-annot.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-annot.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-syntax-annot''; version = ''cl-syntax-20150407-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-anonfun.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-anonfun.nix index cea65514d4b..c19a47df6c2 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-anonfun.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-anonfun.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-syntax-anonfun''; version = ''cl-syntax-20150407-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-markup.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-markup.nix index fa08d3b114c..cded8dc2d06 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-markup.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-markup.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-syntax-markup''; version = ''cl-syntax-20150407-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax.nix index 2715cfdf8da..353c8210885 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-syntax''; version = ''20150407-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-test-more.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-test-more.nix index 81b9153fff0..56d9b363268 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-test-more.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-test-more.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-test-more''; version = ''prove-20171130-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-typesetting.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-typesetting.nix index 38237f6f3b7..358666877a6 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-typesetting.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-typesetting.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-typesetting''; version = ''20170830-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-unicode.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-unicode.nix index 77e2ba0036c..7849acb57c0 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-unicode.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-unicode.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-unicode''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-unification.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-unification.nix index bb8a05b0d6d..dbdd53a9346 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-unification.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-unification.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-unification''; version = ''20190107-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-utilities.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-utilities.nix index 4ccd82f2ccc..ba8be7927f0 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-utilities.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-utilities.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-utilities''; version = ''1.2.4''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-vectors.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-vectors.nix index dbdd6d6b617..f44bd0f22e0 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-vectors.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-vectors.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-vectors''; version = ''20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-who.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-who.nix index 19af99826fc..3be45384d41 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-who.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-who.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-who''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl_plus_ssl.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl_plus_ssl.nix index 5294613b978..f344dbfa2fe 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl_plus_ssl.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl_plus_ssl.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl_plus_ssl''; version = ''cl+ssl-20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-handler-hunchentoot.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-handler-hunchentoot.nix index 4b0a4cd176d..6cfd7842409 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-handler-hunchentoot.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-handler-hunchentoot.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clack-handler-hunchentoot''; version = ''clack-20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-socket.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-socket.nix index 4dcda09baea..da7f15e03c1 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-socket.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-socket.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clack-socket''; version = ''clack-20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-test.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-test.nix index d8c8acf83aa..1792d79f1d8 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-test.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-test.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clack-test''; version = ''clack-20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-v1-compat.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-v1-compat.nix index 8f2a19519ac..6162f8ffe10 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-v1-compat.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-v1-compat.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clack-v1-compat''; version = ''clack-20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack.nix index ab615e5dc83..1813844e85f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clack''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closer-mop.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closer-mop.nix index abbefea70b4..ca03207fabd 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closer-mop.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closer-mop.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''closer-mop''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closure-common.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closure-common.nix index 3448f70f5ad..c1b36b6b653 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closure-common.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closure-common.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''closure-common''; version = ''20181018-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closure-html.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closure-html.nix index 7df1e251ece..7d9d8730f29 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closure-html.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closure-html.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''closure-html''; version = ''20180711-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-postgresql-socket.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-postgresql-socket.nix index 8f6760b9624..9f4abb8e475 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-postgresql-socket.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-postgresql-socket.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clsql-postgresql-socket''; version = ''clsql-20160208-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-postgresql.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-postgresql.nix index e90cda0f6d9..add3a15d27c 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-postgresql.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-postgresql.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clsql-postgresql''; version = ''clsql-20160208-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-sqlite3.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-sqlite3.nix index 254a22fbafa..653adb3d12b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-sqlite3.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-sqlite3.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clsql-sqlite3''; version = ''clsql-20160208-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-uffi.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-uffi.nix index c664c23dd1c..8243a337585 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-uffi.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-uffi.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clsql-uffi''; version = ''clsql-20160208-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql.nix index e37eecabbca..74b8442c0c9 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clsql''; version = ''20160208-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clss.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clss.nix index 458cb51e6ad..2f07706a912 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clss.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clss.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clss''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clx-truetype.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clx-truetype.nix index ba45b353057..303d43a964e 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clx-truetype.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clx-truetype.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clx-truetype''; version = ''20160825-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clx.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clx.nix index 0fe6e115f3f..6b99f99b7ab 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clx.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clx.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clx''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/collectors.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/collectors.nix index 0a4a40b0684..7375b8dd709 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/collectors.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/collectors.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''collectors''; version = ''20161204-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/command-line-arguments.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/command-line-arguments.nix index 25eaf1fa6fa..1d81acba2dc 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/command-line-arguments.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/command-line-arguments.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''command-line-arguments''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-lite.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-lite.nix index c1c209093d0..38ea5aa106c 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-lite.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-lite.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''css-lite''; version = ''20120407-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors-simple-tree.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors-simple-tree.nix index a0a6d2d7c2e..98c565648dc 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors-simple-tree.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors-simple-tree.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''css-selectors-simple-tree''; version = ''css-selectors-20160628-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors-stp.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors-stp.nix index 1aff3a5826c..fcdb69f3c35 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors-stp.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors-stp.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''css-selectors-stp''; version = ''css-selectors-20160628-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors.nix index 268eea723dd..aa523d6f838 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''css-selectors''; version = ''20160628-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cxml-stp.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cxml-stp.nix index 9bef7fb990c..ebae32962f1 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cxml-stp.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cxml-stp.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cxml-stp''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cxml.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cxml.nix index 667cf93d44f..68a019fa027 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cxml.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cxml.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cxml''; version = ''20181018-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-mysql.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-mysql.nix index 9d0f2549f50..173a31e9f31 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-mysql.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-mysql.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''dbd-mysql''; version = ''cl-dbi-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-postgres.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-postgres.nix index 9f3046eb51f..6668cd4f762 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-postgres.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-postgres.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''dbd-postgres''; version = ''cl-dbi-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-sqlite3.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-sqlite3.nix index 7bc556eaff2..9b6fde0ea94 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-sqlite3.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-sqlite3.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''dbd-sqlite3''; version = ''cl-dbi-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbi.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbi.nix index 2e4006dbf3f..26c5306c06a 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbi.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbi.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''dbi''; version = ''cl-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dexador.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dexador.nix index 5a84a62ee83..4d5bc0a2217 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dexador.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dexador.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''dexador''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/do-urlencode.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/do-urlencode.nix index 2896ec154ec..3dbacdf0f81 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/do-urlencode.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/do-urlencode.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''do-urlencode''; version = ''20181018-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/documentation-utils.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/documentation-utils.nix index a4196b2d394..7c25ed9a037 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/documentation-utils.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/documentation-utils.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''documentation-utils''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/drakma.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/drakma.nix index a3d063ec9bd..aa97f8f7cbe 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/drakma.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/drakma.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''drakma''; version = ''v2.0.5''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/esrap-peg.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/esrap-peg.nix index e94ed40f9e1..d68fd839bd1 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/esrap-peg.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/esrap-peg.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''esrap-peg''; version = ''20170403-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/esrap.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/esrap.nix index 3ab6e03ab65..a024ad3907a 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/esrap.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/esrap.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''esrap''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/external-program.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/external-program.nix index adecbd142d3..4fd75234253 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/external-program.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/external-program.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''external-program''; version = ''20190307-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fast-http.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fast-http.nix index 8d43fa3362c..82c8603d4a4 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fast-http.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fast-http.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''fast-http''; version = ''20180831-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fast-io.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fast-io.nix index ea006556876..89bed83acd5 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fast-io.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fast-io.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''fast-io''; version = ''20171023-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fiasco.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fiasco.nix index 8b5fe1f7de6..39f2af5430f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fiasco.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fiasco.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''fiasco''; version = ''20190307-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fiveam.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fiveam.nix index 8ebd9f60381..be9648a0da4 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fiveam.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fiveam.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''fiveam''; version = ''v1.4.1''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/flexi-streams.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/flexi-streams.nix index 4d5524d9d18..d9b25bebddc 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/flexi-streams.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/flexi-streams.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''flexi-streams''; version = ''20190107-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/form-fiddle.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/form-fiddle.nix index a5fe52ec027..90ce8b83dde 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/form-fiddle.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/form-fiddle.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''form-fiddle''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fset.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fset.nix index 893cec1c72a..d901df215a6 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fset.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fset.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''fset''; version = ''20171019-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/http-body.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/http-body.nix index 775e30e711a..3754829ac37 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/http-body.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/http-body.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''http-body''; version = ''20181210-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hu_dot_dwim_dot_asdf.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hu_dot_dwim_dot_asdf.nix index 6fc92c08882..1f2700608e3 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hu_dot_dwim_dot_asdf.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hu_dot_dwim_dot_asdf.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''hu_dot_dwim_dot_asdf''; version = ''20190521-darcs''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hu_dot_dwim_dot_stefil.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hu_dot_dwim_dot_stefil.nix index 98a77dad63c..51f4a2d0698 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hu_dot_dwim_dot_stefil.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hu_dot_dwim_dot_stefil.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''hu_dot_dwim_dot_stefil''; version = ''20170403-darcs''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hunchentoot.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hunchentoot.nix index 11d0831c96f..e822fb48715 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hunchentoot.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hunchentoot.nix @@ -1,16 +1,16 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''hunchentoot''; version = ''v1.2.38''; - parasites = [ "hunchentoot-dev" "hunchentoot-test" ]; + parasites = [ "hunchentoot-test" ]; description = ''Hunchentoot is a HTTP server based on USOCKET and BORDEAUX-THREADS. It supports HTTP 1.1, serves static files, has a simple framework for user-defined handlers and can be extended through subclassing.''; - deps = [ args."alexandria" args."babel" args."bordeaux-threads" args."cffi" args."chunga" args."cl_plus_ssl" args."cl-base64" args."cl-fad" args."cl-ppcre" args."cl-who" args."cxml-stp" args."drakma" args."flexi-streams" args."md5" args."rfc2388" args."split-sequence" args."swank" args."trivial-backtrace" args."trivial-features" args."trivial-garbage" args."trivial-gray-streams" args."usocket" args."xpath" ]; + deps = [ args."alexandria" args."babel" args."bordeaux-threads" args."cffi" args."chunga" args."cl_plus_ssl" args."cl-base64" args."cl-fad" args."cl-ppcre" args."cl-who" args."drakma" args."flexi-streams" args."md5" args."rfc2388" args."split-sequence" args."trivial-backtrace" args."trivial-features" args."trivial-garbage" args."trivial-gray-streams" args."usocket" ]; src = fetchurl { url = ''http://beta.quicklisp.org/archive/hunchentoot/2017-12-27/hunchentoot-v1.2.38.tgz''; @@ -36,18 +36,18 @@ args @ { fetchurl, ... }: (NAME cffi FILENAME cffi) (NAME chunga FILENAME chunga) (NAME cl+ssl FILENAME cl_plus_ssl) (NAME cl-base64 FILENAME cl-base64) (NAME cl-fad FILENAME cl-fad) (NAME cl-ppcre FILENAME cl-ppcre) - (NAME cl-who FILENAME cl-who) (NAME cxml-stp FILENAME cxml-stp) - (NAME drakma FILENAME drakma) (NAME flexi-streams FILENAME flexi-streams) - (NAME md5 FILENAME md5) (NAME rfc2388 FILENAME rfc2388) - (NAME split-sequence FILENAME split-sequence) (NAME swank FILENAME swank) + (NAME cl-who FILENAME cl-who) (NAME drakma FILENAME drakma) + (NAME flexi-streams FILENAME flexi-streams) (NAME md5 FILENAME md5) + (NAME rfc2388 FILENAME rfc2388) + (NAME split-sequence FILENAME split-sequence) (NAME trivial-backtrace FILENAME trivial-backtrace) (NAME trivial-features FILENAME trivial-features) (NAME trivial-garbage FILENAME trivial-garbage) (NAME trivial-gray-streams FILENAME trivial-gray-streams) - (NAME usocket FILENAME usocket) (NAME xpath FILENAME xpath)) + (NAME usocket FILENAME usocket)) DEPENDENCIES (alexandria babel bordeaux-threads cffi chunga cl+ssl cl-base64 cl-fad - cl-ppcre cl-who cxml-stp drakma flexi-streams md5 rfc2388 split-sequence - swank trivial-backtrace trivial-features trivial-garbage - trivial-gray-streams usocket xpath) - VERSION v1.2.38 SIBLINGS NIL PARASITES (hunchentoot-dev hunchentoot-test)) */ + cl-ppcre cl-who drakma flexi-streams md5 rfc2388 split-sequence + trivial-backtrace trivial-features trivial-garbage trivial-gray-streams + usocket) + VERSION v1.2.38 SIBLINGS NIL PARASITES (hunchentoot-test)) */ diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/idna.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/idna.nix index 9dfeb3f1206..1b948bcf325 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/idna.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/idna.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''idna''; version = ''20120107-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ieee-floats.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ieee-floats.nix index 675521dcde9..4211dfbc919 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ieee-floats.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ieee-floats.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''ieee-floats''; version = ''20170830-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib.nix index bd0e896e143..c4ae44cd676 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''iolib''; version = ''v0.8.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_asdf.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_asdf.nix index d5e072e5f6a..195b52c08c4 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_asdf.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_asdf.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''iolib_dot_asdf''; version = ''iolib-v0.8.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_base.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_base.nix index 445da3b1b17..aa650edde02 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_base.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_base.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''iolib_dot_base''; version = ''iolib-v0.8.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_common-lisp.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_common-lisp.nix index 415e7a59820..c0ec72d4869 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_common-lisp.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_common-lisp.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''iolib_dot_common-lisp''; version = ''iolib-v0.8.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_conf.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_conf.nix index 58fd95012e8..4ba0cfa1ce2 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_conf.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_conf.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''iolib_dot_conf''; version = ''iolib-v0.8.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_grovel.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_grovel.nix index 009e0e27866..7a1a12243fe 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_grovel.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_grovel.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''iolib_dot_grovel''; version = ''iolib-v0.8.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ironclad.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ironclad.nix index 727be89bf44..2c56b5964f9 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ironclad.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ironclad.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''ironclad''; version = ''v0.46''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iterate.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iterate.nix index 9a91033bb8b..f276ec72736 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iterate.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iterate.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''iterate''; version = ''20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/jonathan.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/jonathan.nix index 790638f5954..702eb2d05b5 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/jonathan.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/jonathan.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''jonathan''; version = ''20190202-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/kmrcl.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/kmrcl.nix index 88ededf0e73..e44004a9cf7 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/kmrcl.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/kmrcl.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''kmrcl''; version = ''20150923-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-component.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-component.nix index c94277bb211..e4b89c382dc 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-component.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-component.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lack-component''; version = ''lack-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-middleware-backtrace.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-middleware-backtrace.nix index 3fc76c73576..0d50e58ff55 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-middleware-backtrace.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-middleware-backtrace.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lack-middleware-backtrace''; version = ''lack-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-util.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-util.nix index 221ac216cf8..cadf617bbdf 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-util.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-util.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lack-util''; version = ''lack-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack.nix index 7740e73c0e5..08095979989 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lack''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/let-plus.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/let-plus.nix index 91e28f402b2..1f6a0709b0f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/let-plus.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/let-plus.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''let-plus''; version = ''20171130-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lev.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lev.nix index 8d52d518e11..9dd6baad1bd 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lev.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lev.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lev''; version = ''20150505-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-client.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-client.nix index 6ff0fdb4c82..10977c16f83 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-client.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-client.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lfarm-client''; version = ''lfarm-20150608-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-common.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-common.nix index 4be971bef06..4a5fe87982a 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-common.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-common.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lfarm-common''; version = ''lfarm-20150608-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-server.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-server.nix index 44c8cc01c95..354d6c31507 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-server.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-server.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lfarm-server''; version = ''lfarm-20150608-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-ssl.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-ssl.nix index 3d917ff2817..348c71fe966 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-ssl.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-ssl.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lfarm-ssl''; version = ''lfarm-20150608-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lift.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lift.nix index 84f0ca863ec..1edb65596a6 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lift.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lift.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lift''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lisp-namespace.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lisp-namespace.nix index 802b9eb2b7a..7f88beb974b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lisp-namespace.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lisp-namespace.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lisp-namespace''; version = ''20171130-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lisp-unit2.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lisp-unit2.nix index c623d368a04..8d21f88cbf8 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lisp-unit2.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lisp-unit2.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lisp-unit2''; version = ''20180131-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/local-time.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/local-time.nix index 4695c3dfe0e..5ffa27fcca5 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/local-time.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/local-time.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''local-time''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lparallel.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lparallel.nix index a4011b78d4f..1a6f217a2f9 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lparallel.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lparallel.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lparallel''; version = ''20160825-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lquery.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lquery.nix index 5dbd186e62e..f64e9ee6a33 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lquery.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lquery.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lquery''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/map-set.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/map-set.nix index c6445010f54..8187c99f94a 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/map-set.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/map-set.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''map-set''; version = ''20190307-hg''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/marshal.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/marshal.nix index 531cc1adc1a..4f6842606b4 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/marshal.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/marshal.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''marshal''; version = ''cl-20180328-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/md5.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/md5.nix index b34fdd83ca3..953dd0a58a4 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/md5.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/md5.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''md5''; version = ''20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/metabang-bind.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/metabang-bind.nix index cf2c3a1c777..d72e0839d1e 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/metabang-bind.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/metabang-bind.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''metabang-bind''; version = ''20171130-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/misc-extensions.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/misc-extensions.nix index 13d0b971d44..16609db5c22 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/misc-extensions.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/misc-extensions.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''misc-extensions''; version = ''20150608-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/mt19937.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/mt19937.nix index f1fe0e3c279..a8cfc070bf9 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/mt19937.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/mt19937.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''mt19937''; version = ''1.1.1''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/myway.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/myway.nix index 16b1f22ceeb..068d0eba69d 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/myway.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/myway.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''myway''; version = ''20181018-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/named-readtables.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/named-readtables.nix index c5f1379f421..82d06b1c93b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/named-readtables.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/named-readtables.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''named-readtables''; version = ''20180131-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/net_dot_didierverna_dot_asdf-flv.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/net_dot_didierverna_dot_asdf-flv.nix index dcc49aa7993..4e7c84566a0 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/net_dot_didierverna_dot_asdf-flv.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/net_dot_didierverna_dot_asdf-flv.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''net_dot_didierverna_dot_asdf-flv''; version = ''asdf-flv-version-2.1''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/nibbles.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/nibbles.nix index 0a3dba6b824..ea6adac9e9f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/nibbles.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/nibbles.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''nibbles''; version = ''20180831-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/optima.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/optima.nix index b5b6ace2ae9..07b86f58fe7 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/optima.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/optima.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''optima''; version = ''20150709-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/parenscript.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/parenscript.nix index 2bf30650785..bf6216dcadd 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/parenscript.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/parenscript.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''parenscript''; version = ''Parenscript-2.7.1''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/parse-number.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/parse-number.nix index 72bef6f60bf..e636df0805e 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/parse-number.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/parse-number.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''parse-number''; version = ''v1.7''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/pcall-queue.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/pcall-queue.nix index 0c34654b1ae..fe9ccae2886 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/pcall-queue.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/pcall-queue.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''pcall-queue''; version = ''pcall-0.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/pcall.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/pcall.nix index c041d1778fa..99e9517e50e 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/pcall.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/pcall.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''pcall''; version = ''0.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/plump.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/plump.nix index 53af356f05a..8757c704c8f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/plump.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/plump.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''plump''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/proc-parse.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/proc-parse.nix index 3afcbf98d50..8ffcbc784ff 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/proc-parse.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/proc-parse.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''proc-parse''; version = ''20160318-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/prove.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/prove.nix index 92ac9e33d49..065c81e11a6 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/prove.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/prove.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''prove''; version = ''20171130-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ptester.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ptester.nix index b4957d68e88..ffa2e595c26 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ptester.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ptester.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''ptester''; version = ''20160929-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/puri.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/puri.nix index 84b252f93a5..4f694678393 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/puri.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/puri.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''puri''; version = ''20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/query-fs.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/query-fs.nix index dbeb5f1d08e..3666dda9bf8 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/query-fs.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/query-fs.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''query-fs''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/quri.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/quri.nix index fed62457891..f6022cb2a1f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/quri.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/quri.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''quri''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/rfc2388.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/rfc2388.nix index e6c1477298d..25d535176a6 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/rfc2388.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/rfc2388.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''rfc2388''; version = ''20180831-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/rt.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/rt.nix index 14b327a9736..d5be4be7daf 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/rt.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/rt.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''rt''; version = ''20101006-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/s-sysdeps.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/s-sysdeps.nix new file mode 100644 index 00000000000..1c28ec6e2af --- /dev/null +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/s-sysdeps.nix @@ -0,0 +1,25 @@ +args @ { fetchurl, ... }: +rec { + baseName = ''s-sysdeps''; + version = ''20130128-git''; + + description = ''An abstraction layer over platform dependent functionality''; + + deps = [ ]; + + src = fetchurl { + url = ''http://beta.quicklisp.org/archive/s-sysdeps/2013-01-28/s-sysdeps-20130128-git.tgz''; + sha256 = ''048q0mzypnm284bvv7036d4z7bv7rdcqks5l372s74kq279l2y00''; + }; + + packageName = "s-sysdeps"; + + asdFilesToKeep = ["s-sysdeps.asd"]; + overrides = x: x; +} +/* (SYSTEM s-sysdeps DESCRIPTION + An abstraction layer over platform dependent functionality SHA256 + 048q0mzypnm284bvv7036d4z7bv7rdcqks5l372s74kq279l2y00 URL + http://beta.quicklisp.org/archive/s-sysdeps/2013-01-28/s-sysdeps-20130128-git.tgz + MD5 2fe61fadafd62ef9597e17b4783889ef NAME s-sysdeps FILENAME s-sysdeps DEPS + NIL DEPENDENCIES NIL VERSION 20130128-git SIBLINGS NIL PARASITES NIL) */ diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/s-xml.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/s-xml.nix new file mode 100644 index 00000000000..ec12dde5223 --- /dev/null +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/s-xml.nix @@ -0,0 +1,27 @@ +args @ { fetchurl, ... }: +rec { + baseName = ''s-xml''; + version = ''20150608-git''; + + parasites = [ "s-xml.examples" "s-xml.test" ]; + + description = ''Simple Common Lisp XML Parser''; + + deps = [ ]; + + src = fetchurl { + url = ''http://beta.quicklisp.org/archive/s-xml/2015-06-08/s-xml-20150608-git.tgz''; + sha256 = ''0cy36wqzasqma4maw9djq1vdwsp5hxq8svlbnhbv9sq9zzys5viq''; + }; + + packageName = "s-xml"; + + asdFilesToKeep = ["s-xml.asd"]; + overrides = x: x; +} +/* (SYSTEM s-xml DESCRIPTION Simple Common Lisp XML Parser SHA256 + 0cy36wqzasqma4maw9djq1vdwsp5hxq8svlbnhbv9sq9zzys5viq URL + http://beta.quicklisp.org/archive/s-xml/2015-06-08/s-xml-20150608-git.tgz + MD5 9c31c80f0661777c493fab683f776716 NAME s-xml FILENAME s-xml DEPS NIL + DEPENDENCIES NIL VERSION 20150608-git SIBLINGS NIL PARASITES + (s-xml.examples s-xml.test)) */ diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/salza2.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/salza2.nix index 26c616ec392..9056cfbdcca 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/salza2.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/salza2.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''salza2''; version = ''2.0.9''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/simple-date.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/simple-date.nix index 7b5f33e7bc2..9f125cfd52a 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/simple-date.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/simple-date.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''simple-date''; version = ''postmodern-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/smart-buffer.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/smart-buffer.nix index a6fc781c369..63adab55741 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/smart-buffer.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/smart-buffer.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''smart-buffer''; version = ''20160628-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/split-sequence.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/split-sequence.nix index be9f5998642..a22076facd3 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/split-sequence.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/split-sequence.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''split-sequence''; version = ''v2.0.0''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/sqlite.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/sqlite.nix index cd018a4b997..57dec7c93ca 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/sqlite.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/sqlite.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''sqlite''; version = ''cl-20130615-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/static-vectors.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/static-vectors.nix index 0386a674916..1cd4e4c4402 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/static-vectors.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/static-vectors.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''static-vectors''; version = ''v1.8.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/stefil.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/stefil.nix index 7c769e614c1..df63a5c9836 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/stefil.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/stefil.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''stefil''; version = ''20181210-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/string-case.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/string-case.nix index 2b38115aabd..17a56c09b7e 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/string-case.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/string-case.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''string-case''; version = ''20180711-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/stumpwm.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/stumpwm.nix index fa0a2ab7944..e89eb1971d6 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/stumpwm.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/stumpwm.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''stumpwm''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/swank.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/swank.nix index ec14ffffcba..50b815425dc 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/swank.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/swank.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''swank''; version = ''slime-v2.24''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/swap-bytes.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/swap-bytes.nix index 89003686df3..f0df3b84f15 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/swap-bytes.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/swap-bytes.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''swap-bytes''; version = ''v1.1''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/symbol-munger.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/symbol-munger.nix index 9ec472fa08a..4bae3cc1cee 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/symbol-munger.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/symbol-munger.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''symbol-munger''; version = ''20150407-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-backtrace.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-backtrace.nix index d10b68b2d2e..683f87357f5 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-backtrace.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-backtrace.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''trivial-backtrace''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-features.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-features.nix index 8db7c603ec8..82d0e4513ab 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-features.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-features.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''trivial-features''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-garbage.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-garbage.nix index 4d26aa43519..c629bb3548d 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-garbage.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-garbage.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''trivial-garbage''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-gray-streams.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-gray-streams.nix index 7826a937992..2aee236ac0c 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-gray-streams.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-gray-streams.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''trivial-gray-streams''; version = ''20181018-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-indent.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-indent.nix index 002c75e1021..33eedbaa818 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-indent.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-indent.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''trivial-indent''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-mimes.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-mimes.nix index 960778dc17c..f02d9e0dc2c 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-mimes.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-mimes.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''trivial-mimes''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-types.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-types.nix index 6bfaac06f2d..8cc04c2c64a 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-types.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-types.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''trivial-types''; version = ''20120407-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-utf-8.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-utf-8.nix index b4282ec33ce..00a484f11bf 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-utf-8.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-utf-8.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''trivial-utf-8''; version = ''20111001-darcs''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/uffi.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/uffi.nix index f5e8677f508..1986f7c88f7 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/uffi.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/uffi.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''uffi''; version = ''20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/uiop.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/uiop.nix index ae9c1c6d5ac..18f1b74edbd 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/uiop.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/uiop.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''uiop''; version = ''3.3.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/unit-test.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/unit-test.nix index 6a877e5a964..6c456496732 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/unit-test.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/unit-test.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''unit-test''; version = ''20120520-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/usocket.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/usocket.nix index fccce79eb84..c3928cf0e4c 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/usocket.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/usocket.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''usocket''; version = ''0.8.2''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/vom.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/vom.nix index 2fb1cdacfac..6a4751f799e 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/vom.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/vom.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''vom''; version = ''20160825-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/woo.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/woo.nix index 7825678c77c..59a41a7cf9a 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/woo.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/woo.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''woo''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/wookie.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/wookie.nix index 93bcb2072a7..f717441068b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/wookie.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/wookie.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''wookie''; version = ''20181018-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xembed.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xembed.nix index db03e57a663..9854567fd5b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xembed.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xembed.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''xembed''; version = ''clx-20190307-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xkeyboard.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xkeyboard.nix index 71cd9cfbde6..4bfc0678f0f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xkeyboard.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xkeyboard.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''xkeyboard''; version = ''clx-20120811-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xmls.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xmls.nix index a9a1cfc7ec0..c02e6e24818 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xmls.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xmls.nix @@ -1,13 +1,13 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''xmls''; version = ''3.0.2''; - parasites = [ "xmls/octets" "xmls/test" "xmls/unit-test" ]; + parasites = [ "xmls/test" "xmls/unit-test" ]; description = ''System lacks description''; - deps = [ args."cl-ppcre" args."fiveam" args."flexi-streams" ]; + deps = [ args."fiveam" ]; src = fetchurl { url = ''http://beta.quicklisp.org/archive/xmls/2018-04-30/xmls-3.0.2.tgz''; @@ -23,7 +23,5 @@ args @ { fetchurl, ... }: 1r7mvw62zjcg45j3hm8jlbiisad2b415pghn6qcmhl03dmgp7kgi URL http://beta.quicklisp.org/archive/xmls/2018-04-30/xmls-3.0.2.tgz MD5 2462bab4a5d74e87ef7bdef41cd06dc8 NAME xmls FILENAME xmls DEPS - ((NAME cl-ppcre FILENAME cl-ppcre) (NAME fiveam FILENAME fiveam) - (NAME flexi-streams FILENAME flexi-streams)) - DEPENDENCIES (cl-ppcre fiveam flexi-streams) VERSION 3.0.2 SIBLINGS NIL - PARASITES (xmls/octets xmls/test xmls/unit-test)) */ + ((NAME fiveam FILENAME fiveam)) DEPENDENCIES (fiveam) VERSION 3.0.2 + SIBLINGS NIL PARASITES (xmls/test xmls/unit-test)) */ diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xpath.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xpath.nix index e2a5494c5b4..3e14a5ba9f0 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xpath.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xpath.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''xpath''; version = ''plexippus-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xsubseq.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xsubseq.nix index 92f661f8531..b9ab71744c3 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xsubseq.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xsubseq.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''xsubseq''; version = ''20170830-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/yacc.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/yacc.nix index cf8f3a8ac0f..c7031f4aa3f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/yacc.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/yacc.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''yacc''; version = ''cl-20101006-darcs''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/yason.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/yason.nix index ca74dd0e500..e14a540a178 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/yason.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/yason.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''yason''; version = ''v0.7.6''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/zpb-ttf.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/zpb-ttf.nix index 3aa695caed6..74e5d7e97e9 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/zpb-ttf.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/zpb-ttf.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''zpb-ttf''; version = ''1.0.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-systems.txt b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-systems.txt index a4dee0bce57..ce73d3baec4 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-systems.txt +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-systems.txt @@ -1,3 +1,4 @@ +cl-prevalence 3bmd alexandria array-utils @@ -26,6 +27,7 @@ cl-dbi cl-emb cl-fuse cl-fuse-meta-fs +cl-hooks cl-html-parse cl-html5-parser cl-jpeg diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix.nix index d430f72353a..db725d415c5 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix.nix @@ -6,9 +6,6 @@ let quicklisp-to-nix-packages = rec { buildLispPackage = callPackage ./define-package.nix; qlOverrides = callPackage ./quicklisp-to-nix-overrides.nix {}; - "simple-date_slash_postgres-glue" = quicklisp-to-nix-packages."simple-date"; - - "xpath_slash_test" = quicklisp-to-nix-packages."xpath"; @@ -255,7 +252,6 @@ let quicklisp-to-nix-packages = rec { inherit fetchurl; "fiveam" = quicklisp-to-nix-packages."fiveam"; "md5" = quicklisp-to-nix-packages."md5"; - "simple-date_slash_postgres-glue" = quicklisp-to-nix-packages."simple-date_slash_postgres-glue"; "split-sequence" = quicklisp-to-nix-packages."split-sequence"; "usocket" = quicklisp-to-nix-packages."usocket"; })); @@ -949,6 +945,22 @@ let quicklisp-to-nix-packages = rec { })); + "s-xml" = buildLispPackage + ((f: x: (x // (f x))) + (qlOverrides."s-xml" or (x: {})) + (import ./quicklisp-to-nix-output/s-xml.nix { + inherit fetchurl; + })); + + + "s-sysdeps" = buildLispPackage + ((f: x: (x // (f x))) + (qlOverrides."s-sysdeps" or (x: {})) + (import ./quicklisp-to-nix-output/s-sysdeps.nix { + inherit fetchurl; + })); + + "yason" = buildLispPackage ((f: x: (x // (f x))) (qlOverrides."yason" or (x: {})) @@ -972,9 +984,7 @@ let quicklisp-to-nix-packages = rec { (qlOverrides."xmls" or (x: {})) (import ./quicklisp-to-nix-output/xmls.nix { inherit fetchurl; - "cl-ppcre" = quicklisp-to-nix-packages."cl-ppcre"; "fiveam" = quicklisp-to-nix-packages."fiveam"; - "flexi-streams" = quicklisp-to-nix-packages."flexi-streams"; })); @@ -1643,19 +1653,16 @@ let quicklisp-to-nix-packages = rec { "cl-fad" = quicklisp-to-nix-packages."cl-fad"; "cl-ppcre" = quicklisp-to-nix-packages."cl-ppcre"; "cl-who" = quicklisp-to-nix-packages."cl-who"; - "cxml-stp" = quicklisp-to-nix-packages."cxml-stp"; "drakma" = quicklisp-to-nix-packages."drakma"; "flexi-streams" = quicklisp-to-nix-packages."flexi-streams"; "md5" = quicklisp-to-nix-packages."md5"; "rfc2388" = quicklisp-to-nix-packages."rfc2388"; "split-sequence" = quicklisp-to-nix-packages."split-sequence"; - "swank" = quicklisp-to-nix-packages."swank"; "trivial-backtrace" = quicklisp-to-nix-packages."trivial-backtrace"; "trivial-features" = quicklisp-to-nix-packages."trivial-features"; "trivial-garbage" = quicklisp-to-nix-packages."trivial-garbage"; "trivial-gray-streams" = quicklisp-to-nix-packages."trivial-gray-streams"; "usocket" = quicklisp-to-nix-packages."usocket"; - "xpath" = quicklisp-to-nix-packages."xpath"; })); @@ -2558,6 +2565,20 @@ let quicklisp-to-nix-packages = rec { })); + "cl-hooks" = buildLispPackage + ((f: x: (x // (f x))) + (qlOverrides."cl-hooks" or (x: {})) + (import ./quicklisp-to-nix-output/cl-hooks.nix { + inherit fetchurl; + "alexandria" = quicklisp-to-nix-packages."alexandria"; + "anaphora" = quicklisp-to-nix-packages."anaphora"; + "closer-mop" = quicklisp-to-nix-packages."closer-mop"; + "fiveam" = quicklisp-to-nix-packages."fiveam"; + "let-plus" = quicklisp-to-nix-packages."let-plus"; + "trivial-garbage" = quicklisp-to-nix-packages."trivial-garbage"; + })); + + "cl-fuse-meta-fs" = buildLispPackage ((f: x: (x // (f x))) (qlOverrides."cl-fuse-meta-fs" or (x: {})) @@ -2991,6 +3012,16 @@ let quicklisp-to-nix-packages = rec { })); + "cl-prevalence" = buildLispPackage + ((f: x: (x // (f x))) + (qlOverrides."cl-prevalence" or (x: {})) + (import ./quicklisp-to-nix-output/cl-prevalence.nix { + inherit fetchurl; + "s-sysdeps" = quicklisp-to-nix-packages."s-sysdeps"; + "s-xml" = quicklisp-to-nix-packages."s-xml"; + })); + + }; in quicklisp-to-nix-packages diff --git a/nixpkgs/pkgs/development/lua-modules/generated-packages.nix b/nixpkgs/pkgs/development/lua-modules/generated-packages.nix index 5adc2397939..13ec209142b 100644 --- a/nixpkgs/pkgs/development/lua-modules/generated-packages.nix +++ b/nixpkgs/pkgs/development/lua-modules/generated-packages.nix @@ -810,6 +810,25 @@ luacheck = buildLuarocksPackage { }; }; }; +luacov = buildLuarocksPackage { + pname = "luacov"; + version = "0.13.0-1"; + + src = fetchurl { + url = mirror://luarocks/luacov-0.13.0-1.src.rock; + sha256 = "16am0adzr4y64n94f64d4yrz65in8rwa8mmjz1p0k8afm5p5759i"; + }; + disabled = (luaOlder "5.1") || (luaAtLeast "5.4"); + propagatedBuildInputs = [ lua ]; + + meta = with stdenv.lib; { + homepage = "https://keplerproject.github.io/luacov/"; + description = "Coverage analysis tool for Lua scripts"; + license = { + fullName = "MIT"; + }; + }; +}; luadbi = buildLuarocksPackage { pname = "luadbi"; version = "0.7.2-1"; diff --git a/nixpkgs/pkgs/development/node-packages/node-packages-v10.json b/nixpkgs/pkgs/development/node-packages/node-packages-v10.json index defeb3e1682..18f96e2cc02 100644 --- a/nixpkgs/pkgs/development/node-packages/node-packages-v10.json +++ b/nixpkgs/pkgs/development/node-packages/node-packages-v10.json @@ -1,7 +1,7 @@ [ "@angular/cli" , "@antora/cli" -, "@antora/site-generator-default" +, "@antora/site-generator-default" , "@vue/cli" , "@webassemblyjs/cli" , "@webassemblyjs/repl" @@ -10,8 +10,6 @@ , "@webassemblyjs/wast-refmt" , "alloy" , "asar" -, "azure-cli" -, "azure-functions-core-tools" , "bash-language-server" , "bower" , "bower2nix" @@ -32,7 +30,6 @@ , "dnschain" , "dockerfile-language-server-nodejs" , "elasticdump" -, "elm-live" , "elm-oracle" , "emoj" , "emojione" diff --git a/nixpkgs/pkgs/development/node-packages/node-packages-v10.nix b/nixpkgs/pkgs/development/node-packages/node-packages-v10.nix index 636ba817317..6b7a9f639e3 100644 --- a/nixpkgs/pkgs/development/node-packages/node-packages-v10.nix +++ b/nixpkgs/pkgs/development/node-packages/node-packages-v10.nix @@ -5890,366 +5890,6 @@ let sha1 = "2d8e3e5d0bdbd7327f91bc814f5c57660f81824d"; }; }; - "azure-arm-authorization-2.0.0" = { - name = "azure-arm-authorization"; - packageName = "azure-arm-authorization"; - version = "2.0.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-authorization/-/azure-arm-authorization-2.0.0.tgz"; - sha1 = "56b558ba43b9cb5657662251dabe3cb34c16c56f"; - }; - }; - "azure-arm-batch-3.2.0" = { - name = "azure-arm-batch"; - packageName = "azure-arm-batch"; - version = "3.2.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-batch/-/azure-arm-batch-3.2.0.tgz"; - sha512 = "DDfgAiRruGAiL8Yot5nufG3O8GLA0r5lf1CGYhuF8pEzQ+vYfhLpgJzme7LPh3ASPb8UBSVYHm1IK4W4StvVnw=="; - }; - }; - "azure-arm-cdn-4.2.0" = { - name = "azure-arm-cdn"; - packageName = "azure-arm-cdn"; - version = "4.2.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-cdn/-/azure-arm-cdn-4.2.0.tgz"; - sha512 = "DkpLntvqHtCLbf7p/qqLS0eJluZtsb8gU65deJYiMz4OFQco+InP9giCVnY8gElW3QbMaqKyHOJCDK7NllKMoA=="; - }; - }; - "azure-arm-commerce-2.1.0" = { - name = "azure-arm-commerce"; - packageName = "azure-arm-commerce"; - version = "2.1.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-commerce/-/azure-arm-commerce-2.1.0.tgz"; - sha512 = "zhZ9b1Comp1Owa8/Pn7ORTL0l+uX9elz5A5yOoL/XdYXC8S6bN2QaiRLPmue9ZB55qGE1Tn7Cf+KRlpskL17hQ=="; - }; - }; - "azure-arm-compute-3.0.0-preview" = { - name = "azure-arm-compute"; - packageName = "azure-arm-compute"; - version = "3.0.0-preview"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-compute/-/azure-arm-compute-3.0.0-preview.tgz"; - sha1 = "f5f07792afcdff29ce0b7e16705342b6986f571b"; - }; - }; - "azure-arm-datalake-analytics-1.0.2-preview" = { - name = "azure-arm-datalake-analytics"; - packageName = "azure-arm-datalake-analytics"; - version = "1.0.2-preview"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-datalake-analytics/-/azure-arm-datalake-analytics-1.0.2-preview.tgz"; - sha1 = "b34f868e98a972ec80e4408d209dc06c000dfb63"; - }; - }; - "azure-arm-datalake-store-1.0.2-preview" = { - name = "azure-arm-datalake-store"; - packageName = "azure-arm-datalake-store"; - version = "1.0.2-preview"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-datalake-store/-/azure-arm-datalake-store-1.0.2-preview.tgz"; - sha1 = "c8b7c113016c92703a84dc28d29ba518e8c64763"; - }; - }; - "azure-arm-devtestlabs-2.1.1" = { - name = "azure-arm-devtestlabs"; - packageName = "azure-arm-devtestlabs"; - version = "2.1.1"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-devtestlabs/-/azure-arm-devtestlabs-2.1.1.tgz"; - sha512 = "S5dCYTMrqL+BJc699fIQtXwLFuv5m8jTDqPdXTFpn/CSkyBcOyJwuZH2zPExQjGNZTyjIR6GWi8oeg/IpYLBWw=="; - }; - }; - "azure-arm-dns-2.1.0" = { - name = "azure-arm-dns"; - packageName = "azure-arm-dns"; - version = "2.1.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-dns/-/azure-arm-dns-2.1.0.tgz"; - sha512 = "/y0tOM9qNijPYqB381JFYiEyfF+L5B8z+F8JS1OMV1JXIb45vZKXeoe82ZNMZ5g38Vme3uAblxpvp5OtIcvW6Q=="; - }; - }; - "azure-arm-hdinsight-0.2.2" = { - name = "azure-arm-hdinsight"; - packageName = "azure-arm-hdinsight"; - version = "0.2.2"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-hdinsight/-/azure-arm-hdinsight-0.2.2.tgz"; - sha1 = "3daeade6d26f6b115d8598320541ad2dcaa9516d"; - }; - }; - "azure-arm-hdinsight-jobs-0.1.0" = { - name = "azure-arm-hdinsight-jobs"; - packageName = "azure-arm-hdinsight-jobs"; - version = "0.1.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-hdinsight-jobs/-/azure-arm-hdinsight-jobs-0.1.0.tgz"; - sha1 = "252938f18d4341adf9942261656e791490c3c220"; - }; - }; - "azure-arm-insights-0.11.3" = { - name = "azure-arm-insights"; - packageName = "azure-arm-insights"; - version = "0.11.3"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-insights/-/azure-arm-insights-0.11.3.tgz"; - sha1 = "4e38f8d72cd532e8ad3982d26f43f73f8fb2149f"; - }; - }; - "azure-arm-iothub-1.0.1-preview" = { - name = "azure-arm-iothub"; - packageName = "azure-arm-iothub"; - version = "1.0.1-preview"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-iothub/-/azure-arm-iothub-1.0.1-preview.tgz"; - sha1 = "f63a6dad0355633d9347fb403f417fb195fe3b91"; - }; - }; - "azure-arm-network-5.3.0" = { - name = "azure-arm-network"; - packageName = "azure-arm-network"; - version = "5.3.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-network/-/azure-arm-network-5.3.0.tgz"; - sha512 = "juitxBWofPBZ+kcmLB8OjW5qPD6+/Ncdq86WjDTIUcH+cyb/GWktdDymv6adbOyz4xZ9/wbThFL7AHgq8cHBig=="; - }; - }; - "azure-arm-powerbiembedded-0.1.1" = { - name = "azure-arm-powerbiembedded"; - packageName = "azure-arm-powerbiembedded"; - version = "0.1.1"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-powerbiembedded/-/azure-arm-powerbiembedded-0.1.1.tgz"; - sha1 = "7103c94e06b3ddf628293f60e02fd0ba8f9c3ca9"; - }; - }; - "azure-arm-rediscache-0.2.3" = { - name = "azure-arm-rediscache"; - packageName = "azure-arm-rediscache"; - version = "0.2.3"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-rediscache/-/azure-arm-rediscache-0.2.3.tgz"; - sha1 = "b6898abe8b4c3e1b2ec5be82689ef212bc2b1a06"; - }; - }; - "azure-arm-resource-1.6.1-preview" = { - name = "azure-arm-resource"; - packageName = "azure-arm-resource"; - version = "1.6.1-preview"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-resource/-/azure-arm-resource-1.6.1-preview.tgz"; - sha1 = "aa9a49fb9081a210f2f4cc6596ca4653b68306e6"; - }; - }; - "azure-arm-resource-7.3.0" = { - name = "azure-arm-resource"; - packageName = "azure-arm-resource"; - version = "7.3.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-resource/-/azure-arm-resource-7.3.0.tgz"; - sha512 = "2K+ps1Iwa4PBQFwdCn1X8kAVIRLH5M7nlNZtfOWaYd7DXJ131qJpwW8ul6gKZgG7DAI3PBodrGsHFvPdgA+AzQ=="; - }; - }; - "azure-arm-servermanagement-1.1.0" = { - name = "azure-arm-servermanagement"; - packageName = "azure-arm-servermanagement"; - version = "1.1.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-servermanagement/-/azure-arm-servermanagement-1.1.0.tgz"; - sha512 = "GlPXPD5Up2U6Qxv40ScC/+7WRcVVYQf7EHUSomD385o/MuyJAjM6CxBS8fPKwkZR5MRSd60p6kBo5AQ+bwfpeA=="; - }; - }; - "azure-arm-storage-5.2.0" = { - name = "azure-arm-storage"; - packageName = "azure-arm-storage"; - version = "5.2.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-storage/-/azure-arm-storage-5.2.0.tgz"; - sha512 = "BVFUPi48eJNJFP4ryQ3BwNRlKRNuAA7cZeSxCvr6dGEP+wrd1Ixmb2MlvoMRjgjcEOVnhP4t2YQyHcHNqQsH9A=="; - }; - }; - "azure-arm-trafficmanager-1.1.0-preview" = { - name = "azure-arm-trafficmanager"; - packageName = "azure-arm-trafficmanager"; - version = "1.1.0-preview"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-trafficmanager/-/azure-arm-trafficmanager-1.1.0-preview.tgz"; - sha1 = "b46cfcf7f1690e4739864dcdb5c8de322e82ec50"; - }; - }; - "azure-arm-website-5.7.0" = { - name = "azure-arm-website"; - packageName = "azure-arm-website"; - version = "5.7.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-website/-/azure-arm-website-5.7.0.tgz"; - sha512 = "GnwqaelTIhv40YI3Ch8+Q272X6XXWTq99Y1aYWZb1cejSP4gjrWWeppwor4HtjlVU9i9YIvYO91TRjQt8FrHVA=="; - }; - }; - "azure-asm-compute-0.18.0" = { - name = "azure-asm-compute"; - packageName = "azure-asm-compute"; - version = "0.18.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-compute/-/azure-asm-compute-0.18.0.tgz"; - sha1 = "109c31e17c697f4a00a01533fb230bf3ae448685"; - }; - }; - "azure-asm-hdinsight-0.10.2" = { - name = "azure-asm-hdinsight"; - packageName = "azure-asm-hdinsight"; - version = "0.10.2"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-hdinsight/-/azure-asm-hdinsight-0.10.2.tgz"; - sha1 = "2d11cdaaa073fc38f31c718991d5923fb7259fa0"; - }; - }; - "azure-asm-mgmt-0.10.1" = { - name = "azure-asm-mgmt"; - packageName = "azure-asm-mgmt"; - version = "0.10.1"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-mgmt/-/azure-asm-mgmt-0.10.1.tgz"; - sha1 = "d0a44b47ccabf338b19d53271675733cfa2d1751"; - }; - }; - "azure-asm-network-0.13.0" = { - name = "azure-asm-network"; - packageName = "azure-asm-network"; - version = "0.13.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-network/-/azure-asm-network-0.13.0.tgz"; - sha1 = "8d5d46b66b16c36dfc067f7c7c87bd2f42049c54"; - }; - }; - "azure-asm-sb-0.10.1" = { - name = "azure-asm-sb"; - packageName = "azure-asm-sb"; - version = "0.10.1"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-sb/-/azure-asm-sb-0.10.1.tgz"; - sha1 = "92487b24166041119714f66760ec1f36e8dc7222"; - }; - }; - "azure-asm-sql-0.10.1" = { - name = "azure-asm-sql"; - packageName = "azure-asm-sql"; - version = "0.10.1"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-sql/-/azure-asm-sql-0.10.1.tgz"; - sha1 = "47728df19a6d4f1cc935235c69fa9cf048cc8f42"; - }; - }; - "azure-asm-storage-0.12.0" = { - name = "azure-asm-storage"; - packageName = "azure-asm-storage"; - version = "0.12.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-storage/-/azure-asm-storage-0.12.0.tgz"; - sha1 = "f5edf48d41d18a80eb14af6a72c1d6924214fdd3"; - }; - }; - "azure-asm-subscription-0.10.1" = { - name = "azure-asm-subscription"; - packageName = "azure-asm-subscription"; - version = "0.10.1"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-subscription/-/azure-asm-subscription-0.10.1.tgz"; - sha1 = "917a5e87a04b69c0f5c29339fe910bb5e5e7a04c"; - }; - }; - "azure-asm-trafficmanager-0.10.3" = { - name = "azure-asm-trafficmanager"; - packageName = "azure-asm-trafficmanager"; - version = "0.10.3"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-trafficmanager/-/azure-asm-trafficmanager-0.10.3.tgz"; - sha1 = "91e2e63d73869090613cd42ee38a3823e55f4447"; - }; - }; - "azure-asm-website-0.10.7" = { - name = "azure-asm-website"; - packageName = "azure-asm-website"; - version = "0.10.7"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-website/-/azure-asm-website-0.10.7.tgz"; - sha512 = "h3OmXKKOLd4sbf4khrxqGTjspjqpKduKN9EWgEoIeNhMY+PVKrVEIMr3ZyKzmmy/8123MD+ip67wMqUKSTLtUA=="; - }; - }; - "azure-batch-3.2.2" = { - name = "azure-batch"; - packageName = "azure-batch"; - version = "3.2.2"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-batch/-/azure-batch-3.2.2.tgz"; - sha512 = "IM5nUITXMgTFTF4avRxsz/oLcMXLSZEzpukulRRpO1emXBI4EgSIr0++hUo+AZ94MINE2C4DXgCDiQ9P0suYXw=="; - }; - }; - "azure-common-0.9.22" = { - name = "azure-common"; - packageName = "azure-common"; - version = "0.9.22"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-common/-/azure-common-0.9.22.tgz"; - sha512 = "0r9tK9D+1xl2/VPVtfmGmtkMqfooiBLS87fX+Ab0hOCPVVe/6CgVC4in0wSf2Ta8r65DbvxV5P4/t8fp8Q3EsQ=="; - }; - }; - "azure-gallery-2.0.0-pre.18" = { - name = "azure-gallery"; - packageName = "azure-gallery"; - version = "2.0.0-pre.18"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-gallery/-/azure-gallery-2.0.0-pre.18.tgz"; - sha1 = "3cd4c5e4e0091551d6a5ee757af2354c8a36b3e6"; - }; - }; - "azure-graph-2.2.0" = { - name = "azure-graph"; - packageName = "azure-graph"; - version = "2.2.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-graph/-/azure-graph-2.2.0.tgz"; - sha512 = "ab0LlM5Q3pcKm+V6F6yx2ShzGOTYMcmJvLdL3PQsC9hF+hrYsBdkTCdNZdlPBgrSB8jp5vzhmK83qHGRs14hHw=="; - }; - }; - "azure-keyvault-3.0.5" = { - name = "azure-keyvault"; - packageName = "azure-keyvault"; - version = "3.0.5"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-keyvault/-/azure-keyvault-3.0.5.tgz"; - sha512 = "59fzKRq9dnzv03lEuImvgXc3QjRJoSJtK0gv1WXoqCivBuPdFNK+x6hAjoEDS2WEOXG+7m3uiJWqpMh/8NW3ow=="; - }; - }; - "azure-monitoring-0.10.6" = { - name = "azure-monitoring"; - packageName = "azure-monitoring"; - version = "0.10.6"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-monitoring/-/azure-monitoring-0.10.6.tgz"; - sha512 = "6HNA8VuC5qYvQMjcQt2/zlB7oyAJ7n6KGIYGstS6KS9Orux0peqxlrGPDeQRa4jDNq6ili83KiGc7RhWcgsE4Q=="; - }; - }; - "azure-servicefabric-2.2.0" = { - name = "azure-servicefabric"; - packageName = "azure-servicefabric"; - version = "2.2.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-servicefabric/-/azure-servicefabric-2.2.0.tgz"; - sha512 = "b+rxF8esa1Cm+bnJLs6a+hO/7U9QwvQzg0bSR1rKP9NTKjZji3GxdndcPVkHqFv28QiLo9ifyR/FaJMA0cDcTw=="; - }; - }; - "azure-storage-2.10.3" = { - name = "azure-storage"; - packageName = "azure-storage"; - version = "2.10.3"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-storage/-/azure-storage-2.10.3.tgz"; - sha512 = "IGLs5Xj6kO8Ii90KerQrrwuJKexLgSwYC4oLWmc11mzKe7Jt2E5IVg+ZQ8K53YWZACtVTMBNO3iGuA+4ipjJxQ=="; - }; - }; "babel-code-frame-6.26.0" = { name = "babel-code-frame"; packageName = "babel-code-frame"; @@ -26838,24 +26478,6 @@ let sha512 = "p0CnzrTzEkS8UTEwgCqT2O5YVK9E8KGBBlJVm3hFtMZvf0dmncKYXWFPyUa4PAsfBL7h4jfu39tOIFTu6exntg=="; }; }; - "ms-rest-azure-1.15.7" = { - name = "ms-rest-azure"; - packageName = "ms-rest-azure"; - version = "1.15.7"; - src = fetchurl { - url = "https://registry.npmjs.org/ms-rest-azure/-/ms-rest-azure-1.15.7.tgz"; - sha1 = "8bce09f053b1565dbaa8bd022ca40155c35b0fde"; - }; - }; - "ms-rest-azure-2.6.0" = { - name = "ms-rest-azure"; - packageName = "ms-rest-azure"; - version = "2.6.0"; - src = fetchurl { - url = "https://registry.npmjs.org/ms-rest-azure/-/ms-rest-azure-2.6.0.tgz"; - sha512 = "J6386a9krZ4VtU7CRt+Ypgo9RGf8+d3gjMBkH7zbkM4zzkhbbMOYiPRaZ+bHZcfihkKLlktTgA6rjshTjF329A=="; - }; - }; "msgpack-lite-0.1.26" = { name = "msgpack-lite"; packageName = "msgpack-lite"; @@ -47304,506 +46926,6 @@ in bypassCache = true; reconstructLock = true; }; - azure-cli = nodeEnv.buildNodePackage { - name = "azure-cli"; - packageName = "azure-cli"; - version = "0.10.20"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-cli/-/azure-cli-0.10.20.tgz"; - sha512 = "MMiK5sFfIocNMWCc5PshUCAe6aY4P13/GCmSwudOziA/pFdQMHU8jhu+jU2SSWFug4K1ugeuCwtMXe43oL0PhQ=="; - }; - dependencies = [ - sources."@types/node-8.10.54" - sources."JSV-4.0.2" - sources."adal-node-0.1.28" - sources."ajv-6.10.2" - sources."amdefine-1.0.1" - sources."ansi-regex-2.1.1" - sources."ansi-styles-2.2.1" - sources."applicationinsights-0.16.0" - sources."asap-2.0.6" - sources."asn1-0.2.4" - sources."assert-plus-0.2.0" - sources."async-1.4.2" - sources."asynckit-0.4.0" - sources."aws-sign2-0.6.0" - sources."aws4-1.8.0" - sources."azure-arm-authorization-2.0.0" - sources."azure-arm-batch-3.2.0" - sources."azure-arm-cdn-4.2.0" - sources."azure-arm-commerce-2.1.0" - sources."azure-arm-compute-3.0.0-preview" - (sources."azure-arm-datalake-analytics-1.0.2-preview" // { - dependencies = [ - sources."async-0.2.7" - sources."azure-arm-resource-1.6.1-preview" - sources."ms-rest-1.15.7" - sources."ms-rest-azure-1.15.7" - sources."request-2.74.0" - ]; - }) - (sources."azure-arm-datalake-store-1.0.2-preview" // { - dependencies = [ - sources."async-0.2.7" - sources."azure-arm-resource-1.6.1-preview" - sources."ms-rest-1.15.7" - sources."ms-rest-azure-1.15.7" - sources."request-2.74.0" - ]; - }) - sources."azure-arm-devtestlabs-2.1.1" - sources."azure-arm-dns-2.1.0" - sources."azure-arm-hdinsight-0.2.2" - sources."azure-arm-hdinsight-jobs-0.1.0" - sources."azure-arm-insights-0.11.3" - sources."azure-arm-iothub-1.0.1-preview" - sources."azure-arm-network-5.3.0" - (sources."azure-arm-powerbiembedded-0.1.1" // { - dependencies = [ - sources."async-0.2.7" - sources."azure-arm-resource-1.6.1-preview" - sources."ms-rest-1.15.7" - sources."ms-rest-azure-1.15.7" - sources."request-2.74.0" - ]; - }) - (sources."azure-arm-rediscache-0.2.3" // { - dependencies = [ - sources."async-0.2.7" - sources."azure-arm-resource-1.6.1-preview" - sources."ms-rest-1.15.7" - sources."ms-rest-azure-1.15.7" - sources."request-2.74.0" - ]; - }) - sources."azure-arm-resource-7.3.0" - sources."azure-arm-servermanagement-1.1.0" - sources."azure-arm-storage-5.2.0" - sources."azure-arm-trafficmanager-1.1.0-preview" - sources."azure-arm-website-5.7.0" - sources."azure-asm-compute-0.18.0" - sources."azure-asm-hdinsight-0.10.2" - sources."azure-asm-mgmt-0.10.1" - sources."azure-asm-network-0.13.0" - sources."azure-asm-sb-0.10.1" - sources."azure-asm-sql-0.10.1" - sources."azure-asm-storage-0.12.0" - sources."azure-asm-subscription-0.10.1" - sources."azure-asm-trafficmanager-0.10.3" - (sources."azure-asm-website-0.10.7" // { - dependencies = [ - sources."underscore-1.9.1" - ]; - }) - (sources."azure-batch-3.2.2" // { - dependencies = [ - sources."underscore-1.9.1" - ]; - }) - (sources."azure-common-0.9.22" // { - dependencies = [ - sources."validator-9.4.1" - (sources."xml2js-0.4.22" // { - dependencies = [ - sources."xmlbuilder-11.0.1" - ]; - }) - ]; - }) - sources."azure-gallery-2.0.0-pre.18" - sources."azure-graph-2.2.0" - sources."azure-keyvault-3.0.5" - (sources."azure-monitoring-0.10.6" // { - dependencies = [ - sources."underscore-1.9.1" - ]; - }) - sources."azure-servicefabric-2.2.0" - (sources."azure-storage-2.10.3" // { - dependencies = [ - sources."readable-stream-2.0.6" - sources."sax-0.5.8" - sources."underscore-1.8.3" - sources."validator-9.4.1" - sources."xml2js-0.2.8" - sources."xmlbuilder-9.0.7" - ]; - }) - sources."balanced-match-1.0.0" - sources."bcrypt-pbkdf-1.0.2" - (sources."bl-1.1.2" // { - dependencies = [ - sources."readable-stream-2.0.6" - ]; - }) - sources."boom-2.10.1" - sources."brace-expansion-1.1.11" - sources."browserify-mime-1.2.9" - sources."buffer-equal-constant-time-1.0.1" - sources."buffer-from-1.1.1" - sources."caller-id-0.1.0" - sources."caseless-0.11.0" - sources."chalk-1.1.3" - sources."clone-1.0.4" - sources."colors-1.1.2" - sources."combined-stream-1.0.8" - sources."commander-1.0.4" - sources."concat-map-0.0.1" - (sources."concat-stream-1.6.2" // { - dependencies = [ - sources."process-nextick-args-2.0.1" - sources."readable-stream-2.3.6" - sources."safe-buffer-5.1.2" - sources."string_decoder-1.1.1" - ]; - }) - sources."core-util-is-1.0.2" - sources."cryptiles-2.0.5" - sources."ctype-0.5.2" - sources."cycle-1.0.3" - (sources."dashdash-1.14.1" // { - dependencies = [ - sources."assert-plus-1.0.0" - ]; - }) - sources."date-utils-1.2.21" - sources."dateformat-1.0.2-1.2.3" - sources."deep-equal-1.1.0" - sources."defaults-1.0.3" - sources."define-properties-1.1.3" - sources."delayed-stream-1.0.0" - sources."duplexer-0.1.1" - sources."easy-table-1.1.0" - sources."ecc-jsbn-0.1.2" - sources."ecdsa-sig-formatter-1.0.11" - sources."envconf-0.0.4" - sources."es-abstract-1.14.2" - sources."es-to-primitive-1.2.0" - sources."escape-string-regexp-1.0.5" - sources."event-stream-3.1.5" - sources."extend-3.0.2" - sources."extsprintf-1.3.0" - sources."eyes-0.1.8" - sources."fast-deep-equal-2.0.1" - sources."fast-json-patch-0.5.6" - sources."fast-json-stable-stringify-2.0.0" - sources."fibers-1.0.15" - sources."forever-agent-0.6.1" - (sources."form-data-1.0.1" // { - dependencies = [ - sources."async-2.6.3" - ]; - }) - sources."from-0.1.7" - sources."fs.realpath-1.0.0" - sources."function-bind-1.1.1" - sources."galaxy-0.1.12" - sources."generate-function-2.3.1" - sources."generate-object-property-1.2.0" - (sources."getpass-0.1.7" // { - dependencies = [ - sources."assert-plus-1.0.0" - ]; - }) - sources."github-0.1.6" - sources."glob-7.1.4" - sources."har-schema-2.0.0" - (sources."har-validator-2.0.6" // { - dependencies = [ - sources."commander-2.20.0" - ]; - }) - sources."has-1.0.3" - sources."has-ansi-2.0.0" - sources."has-color-0.1.7" - sources."has-symbols-1.0.0" - sources."hash-base-3.0.4" - sources."hawk-3.1.3" - sources."hoek-2.16.3" - sources."http-basic-2.5.1" - sources."http-response-object-1.1.0" - sources."http-signature-1.1.1" - sources."i-0.3.6" - sources."inflight-1.0.6" - sources."inherits-2.0.4" - sources."is-arguments-1.0.4" - sources."is-buffer-1.1.6" - sources."is-callable-1.1.4" - sources."is-date-object-1.0.1" - sources."is-my-ip-valid-1.0.0" - sources."is-my-json-valid-2.20.0" - sources."is-property-1.0.2" - sources."is-regex-1.0.4" - sources."is-stream-1.1.0" - sources."is-symbol-1.0.2" - sources."is-typedarray-1.0.0" - sources."isarray-1.0.0" - sources."isstream-0.1.2" - sources."js2xmlparser-1.0.0" - sources."jsbn-0.1.1" - sources."json-edm-parser-0.1.2" - sources."json-schema-0.2.3" - sources."json-schema-traverse-0.4.1" - sources."json-stringify-safe-5.0.1" - sources."jsonlint-1.6.2" - sources."jsonminify-0.4.1" - sources."jsonparse-1.2.0" - sources."jsonpointer-4.0.1" - (sources."jsprim-1.4.1" // { - dependencies = [ - sources."assert-plus-1.0.0" - ]; - }) - sources."jsrsasign-4.8.2" - sources."jwa-1.4.1" - sources."jws-3.2.2" - sources."jwt-decode-2.2.0" - sources."keypress-0.1.0" - (sources."kuduscript-1.0.16" // { - dependencies = [ - sources."commander-1.1.1" - sources."streamline-0.4.11" - ]; - }) - sources."lodash-4.17.15" - sources."map-stream-0.1.0" - sources."md5.js-1.3.4" - sources."mime-db-1.40.0" - sources."mime-types-2.1.24" - sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" - sources."moment-2.24.0" - (sources."ms-rest-2.5.3" // { - dependencies = [ - sources."through-2.3.8" - sources."tunnel-0.0.5" - ]; - }) - (sources."ms-rest-azure-2.6.0" // { - dependencies = [ - sources."async-2.6.0" - ]; - }) - sources."mute-stream-0.0.8" - sources."ncp-0.4.2" - sources."node-forge-0.6.23" - sources."node-uuid-1.4.8" - (sources."nomnom-1.8.1" // { - dependencies = [ - sources."ansi-styles-1.0.0" - sources."chalk-0.4.0" - sources."strip-ansi-0.1.1" - sources."underscore-1.6.0" - ]; - }) - sources."oauth-sign-0.8.2" - sources."object-inspect-1.6.0" - sources."object-is-1.0.1" - sources."object-keys-1.1.1" - sources."object.getownpropertydescriptors-2.0.3" - sources."omelette-0.3.2" - sources."once-1.4.0" - sources."openssl-wrapper-0.3.4" - sources."os-homedir-1.0.2" - sources."path-is-absolute-1.0.1" - sources."pause-stream-0.0.11" - sources."performance-now-2.1.0" - sources."pinkie-2.0.4" - sources."pinkie-promise-2.0.1" - sources."pkginfo-0.4.1" - sources."process-nextick-args-1.0.7" - sources."progress-1.1.8" - sources."promise-7.3.1" - (sources."prompt-0.2.14" // { - dependencies = [ - sources."async-0.2.10" - sources."colors-0.6.2" - (sources."winston-0.8.3" // { - dependencies = [ - sources."pkginfo-0.3.1" - ]; - }) - ]; - }) - sources."psl-1.4.0" - sources."punycode-1.4.1" - sources."qs-6.2.3" - sources."read-1.0.7" - (sources."readable-stream-1.0.34" // { - dependencies = [ - sources."isarray-0.0.1" - ]; - }) - sources."regexp.prototype.flags-1.2.0" - (sources."request-2.88.0" // { - dependencies = [ - sources."assert-plus-1.0.0" - sources."aws-sign2-0.7.0" - sources."caseless-0.12.0" - sources."form-data-2.3.3" - sources."har-validator-5.1.3" - sources."http-signature-1.2.0" - sources."oauth-sign-0.9.0" - sources."qs-6.5.2" - sources."tough-cookie-2.4.3" - sources."tunnel-agent-0.6.0" - ]; - }) - sources."revalidator-0.1.8" - sources."rimraf-2.7.1" - sources."safe-buffer-5.2.0" - sources."safer-buffer-2.1.2" - sources."sax-1.2.4" - sources."sntp-1.0.9" - sources."source-map-0.1.43" - sources."split-0.2.10" - (sources."ssh-key-to-pem-0.11.0" // { - dependencies = [ - sources."asn1-0.1.11" - ]; - }) - (sources."sshpk-1.16.1" // { - dependencies = [ - sources."assert-plus-1.0.0" - ]; - }) - sources."stack-trace-0.0.10" - sources."stream-combiner-0.0.4" - sources."streamline-0.10.17" - sources."streamline-streams-0.1.5" - sources."string.prototype.trimleft-2.1.0" - sources."string.prototype.trimright-2.1.0" - sources."string_decoder-0.10.31" - sources."stringstream-0.0.6" - sources."strip-ansi-3.0.1" - sources."supports-color-2.0.0" - sources."sync-request-3.0.0" - sources."then-request-2.2.0" - sources."through-2.3.4" - sources."tough-cookie-2.3.4" - sources."tunnel-0.0.2" - sources."tunnel-agent-0.4.3" - sources."tweetnacl-0.14.5" - sources."typedarray-0.0.6" - sources."underscore-1.4.4" - (sources."uri-js-4.2.2" // { - dependencies = [ - sources."punycode-2.1.1" - ]; - }) - sources."user-home-2.0.0" - sources."util-deprecate-1.0.2" - sources."util.promisify-1.0.0" - (sources."utile-0.2.1" // { - dependencies = [ - sources."async-0.2.10" - ]; - }) - sources."uuid-3.3.3" - sources."validator-5.2.0" - (sources."verror-1.10.0" // { - dependencies = [ - sources."assert-plus-1.0.0" - ]; - }) - sources."wcwidth-1.0.1" - (sources."winston-2.1.1" // { - dependencies = [ - sources."async-1.0.0" - sources."colors-1.0.3" - sources."pkginfo-0.3.1" - ]; - }) - sources."wordwrap-0.0.2" - sources."wrappy-1.0.2" - sources."xml2js-0.1.14" - sources."xmlbuilder-0.4.3" - sources."xmldom-0.1.27" - sources."xpath.js-1.1.0" - sources."xtend-4.0.2" - ]; - buildInputs = globalBuildInputs; - meta = { - description = "Microsoft Azure Cross Platform Command Line tool"; - homepage = https://github.com/Azure/azure-xplat-cli; - license = "Apache-2.0"; - }; - production = true; - bypassCache = true; - reconstructLock = true; - }; - azure-functions-core-tools = nodeEnv.buildNodePackage { - name = "azure-functions-core-tools"; - packageName = "azure-functions-core-tools"; - version = "2.7.1585"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-functions-core-tools/-/azure-functions-core-tools-2.7.1585.tgz"; - sha512 = "jxtiDsa1M5eMmXyjrkX8b2jl+7QKsjWifF8ralXI9DJYh1jJATUKGAPw56n5SJFbsxZlxxdxDoboOkgFP4aeog=="; - }; - dependencies = [ - sources."agent-base-4.3.0" - sources."ansi-styles-3.2.1" - sources."balanced-match-1.0.0" - sources."big-integer-1.6.45" - sources."binary-0.3.0" - sources."bluebird-3.4.7" - sources."brace-expansion-1.1.11" - sources."buffer-indexof-polyfill-1.0.1" - sources."buffers-0.1.1" - sources."chainsaw-0.1.0" - sources."chalk-2.4.2" - sources."color-convert-1.9.3" - sources."color-name-1.1.3" - sources."command-exists-1.2.8" - sources."concat-map-0.0.1" - sources."core-util-is-1.0.2" - sources."debug-3.2.6" - sources."duplexer2-0.1.4" - sources."es6-promise-4.2.8" - sources."es6-promisify-5.0.0" - sources."escape-string-regexp-1.0.5" - sources."fs.realpath-1.0.0" - sources."fstream-1.0.12" - sources."glob-7.1.3" - sources."graceful-fs-4.2.2" - sources."has-flag-3.0.0" - sources."https-proxy-agent-2.2.1" - sources."inflight-1.0.6" - sources."inherits-2.0.4" - sources."isarray-1.0.0" - sources."listenercount-1.0.1" - sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" - sources."ms-2.1.2" - sources."once-1.4.0" - sources."os-tmpdir-1.0.2" - sources."path-is-absolute-1.0.1" - sources."process-nextick-args-2.0.1" - sources."progress-2.0.3" - sources."readable-stream-2.3.6" - sources."rimraf-2.6.3" - sources."safe-buffer-5.1.2" - sources."setimmediate-1.0.5" - sources."string_decoder-1.1.1" - sources."supports-color-5.5.0" - sources."tmp-0.0.33" - sources."traverse-0.3.9" - sources."unzipper-0.9.7" - sources."util-deprecate-1.0.2" - sources."wrappy-1.0.2" - ]; - buildInputs = globalBuildInputs; - meta = { - description = "Azure Functions Core Tools"; - homepage = "https://github.com/Azure/azure-functions-core-tools#readme"; - license = "MIT"; - }; - production = true; - bypassCache = true; - reconstructLock = true; - }; bash-language-server = nodeEnv.buildNodePackage { name = "bash-language-server"; packageName = "bash-language-server"; @@ -78039,4 +77161,4 @@ in bypassCache = true; reconstructLock = true; }; -}
\ No newline at end of file +} diff --git a/nixpkgs/pkgs/development/ocaml-modules/bigstringaf/default.nix b/nixpkgs/pkgs/development/ocaml-modules/bigstringaf/default.nix index 762e675d51c..0544ebbe685 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/bigstringaf/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/bigstringaf/default.nix @@ -1,8 +1,8 @@ -{ stdenv, fetchFromGitHub, buildDunePackage, alcotest }: +{ lib, fetchFromGitHub, buildDunePackage, alcotest, bigarray-compat }: buildDunePackage rec { pname = "bigstringaf"; - version = "0.3.0"; + version = "0.6.0"; minimumOCamlVersion = "4.03"; @@ -10,16 +10,17 @@ buildDunePackage rec { owner = "inhabitedtype"; repo = pname; rev = version; - sha256 = "1yx6hv8rk0ldz1h6kk00rwg8abpfc376z00aifl9f5rn7xavpscs"; + sha256 = "04b088vrqzmxsyan9f9nr8721bxip4b930cgvb5zkbbmrw3ylmwc"; }; buildInputs = [ alcotest ]; + propagatedBuildInputs = [ bigarray-compat ]; doCheck = true; meta = { description = "Bigstring intrinsics and fast blits based on memcpy/memmove"; - license = stdenv.lib.licenses.bsd3; - maintainers = [ stdenv.lib.maintainers.vbgl ]; + license = lib.licenses.bsd3; + maintainers = [ lib.maintainers.vbgl ]; inherit (src.meta) homepage; }; } diff --git a/nixpkgs/pkgs/development/ocaml-modules/digestif/default.nix b/nixpkgs/pkgs/development/ocaml-modules/digestif/default.nix index d8089bb533f..f0a98249d6d 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/digestif/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/digestif/default.nix @@ -1,27 +1,26 @@ -{ stdenv, fetchurl, ocaml, findlib, ocamlbuild, topkg }: +{ lib, fetchurl, buildDunePackage +, bigarray-compat, eqaf, stdlib-shims +, alcotest +}: -if !stdenv.lib.versionAtLeast ocaml.version "4.3" -then throw "digestif is not available for OCaml ${ocaml.version}" -else - -stdenv.mkDerivation rec { - name = "ocaml${ocaml.version}-digestif-${version}"; - version = "0.5"; +buildDunePackage rec { + pname = "digestif"; + version = "0.8.0"; src = fetchurl { - url = "https://github.com/mirage/digestif/releases/download/v${version}/digestif-${version}.tbz"; - sha256 = "0fsyfi5ps17j3wjav5176gf6z3a5xcw9aqhcr1gml9n9ayfbkhrd"; + url = "https://github.com/mirage/digestif/releases/download/v${version}/digestif-v${version}.tbz"; + sha256 = "09g4zngqiw97cljv8ds4m063wcxz3y7c7vzaprsbpjzi0ja5jdcy"; }; - buildInputs = [ ocaml findlib ocamlbuild topkg ]; + buildInputs = lib.optional doCheck alcotest; + propagatedBuildInputs = [ bigarray-compat eqaf stdlib-shims ]; - inherit (topkg) buildPhase installPhase; + doCheck = true; meta = { description = "Simple hash algorithms in OCaml"; homepage = "https://github.com/mirage/digestif"; - license = stdenv.lib.licenses.mit; - maintainers = [ stdenv.lib.maintainers.vbgl ]; - inherit (ocaml.meta) platforms; + license = lib.licenses.mit; + maintainers = [ lib.maintainers.vbgl ]; }; } diff --git a/nixpkgs/pkgs/development/ocaml-modules/doc-ock-html/default.nix b/nixpkgs/pkgs/development/ocaml-modules/doc-ock-html/default.nix deleted file mode 100644 index cd2e2761b50..00000000000 --- a/nixpkgs/pkgs/development/ocaml-modules/doc-ock-html/default.nix +++ /dev/null @@ -1,22 +0,0 @@ -{ stdenv, fetchFromGitHub, buildDunePackage, doc-ock, tyxml, xmlm }: - -buildDunePackage rec { - pname = "doc-ock-html"; - version = "1.2.1"; - - src = fetchFromGitHub { - owner = "ocaml-doc"; - repo = pname; - rev = "v${version}"; - sha256 = "1y620h48qrplmcm78g7c78zibpkai4j3icwmnx95zb3r8xq8554y"; - }; - - propagatedBuildInputs = [ doc-ock tyxml xmlm ]; - - meta = { - description = "From doc-ock to HTML"; - license = stdenv.lib.licenses.isc; - maintainers = [ stdenv.lib.maintainers.vbgl ]; - inherit (src.meta) homepage; - }; -} diff --git a/nixpkgs/pkgs/development/ocaml-modules/doc-ock-xml/default.nix b/nixpkgs/pkgs/development/ocaml-modules/doc-ock-xml/default.nix deleted file mode 100644 index cebf7707788..00000000000 --- a/nixpkgs/pkgs/development/ocaml-modules/doc-ock-xml/default.nix +++ /dev/null @@ -1,22 +0,0 @@ -{ stdenv, fetchFromGitHub, buildDunePackage, doc-ock, menhir, xmlm }: - -buildDunePackage rec { - pname = "doc-ock-xml"; - version = "1.2.1"; - - src = fetchFromGitHub { - owner = "ocaml-doc"; - repo = pname; - rev = "v${version}"; - sha256 = "1s27ri7vj9ixi5p5ixg6g6invk96807bvxbqjrr1dm8sxgl1nd20"; - }; - - propagatedBuildInputs = [ doc-ock menhir xmlm ]; - - meta = { - description = "XML printer and parser for Doc-Ock"; - license = stdenv.lib.licenses.isc; - maintainers = [ stdenv.lib.maintainers.vbgl ]; - inherit (src.meta) homepage; - }; -} diff --git a/nixpkgs/pkgs/development/ocaml-modules/doc-ock/default.nix b/nixpkgs/pkgs/development/ocaml-modules/doc-ock/default.nix deleted file mode 100644 index ff42c93e5c1..00000000000 --- a/nixpkgs/pkgs/development/ocaml-modules/doc-ock/default.nix +++ /dev/null @@ -1,24 +0,0 @@ -{ stdenv, fetchFromGitHub, buildDunePackage, octavius, cppo }: - -buildDunePackage rec { - pname = "doc-ock"; - version = "1.2.1"; - - src = fetchFromGitHub { - owner = "ocaml-doc"; - repo = pname; - rev = "v${version}"; - sha256 = "090vprm12jrl55yllk1hdzbsqyr107yjs2qnc49yahdhvnr4h5b7"; - }; - - buildInputs = [ cppo ]; - - propagatedBuildInputs = [ octavius ]; - - meta = { - description = "Extract documentation from OCaml files"; - license = stdenv.lib.licenses.isc; - maintainers = [ stdenv.lib.maintainers.vbgl ]; - inherit (src.meta) homepage; - }; -} diff --git a/nixpkgs/pkgs/development/ocaml-modules/eliom/camlp4.patch b/nixpkgs/pkgs/development/ocaml-modules/eliom/camlp4.patch deleted file mode 100644 index 06d5ba1b7ed..00000000000 --- a/nixpkgs/pkgs/development/ocaml-modules/eliom/camlp4.patch +++ /dev/null @@ -1,12 +0,0 @@ ---- a/src/_tags 2014-10-01 16:19:35.000000000 +0100 -+++ b/src/_tags 2014-11-09 16:55:34.470663377 +0000 -@@ -40,7 +40,7 @@ - <lib/server/monitor/*.ml{,i}>:I(src/lib/server) - - <syntax/pa_*.*>: syntax(camlp4o),package(camlp4.quotations.o,camlp4.extend,bytes) --<syntax/pa_*.ml{,i}>: I(+camlp4/Camlp4Parsers) -+<syntax/pa_*.ml{,i}>: use_camlp4_full - - <ppx/**/*>: package(ppx_tools, compiler-libs.common, ppx_tools.metaquot) - - diff --git a/nixpkgs/pkgs/development/ocaml-modules/eliom/default.nix b/nixpkgs/pkgs/development/ocaml-modules/eliom/default.nix index 25e75ceeba3..9a9ea28da53 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/eliom/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/eliom/default.nix @@ -1,33 +1,35 @@ -{ stdenv, fetchzip, which, ocsigen_server, ocsigen_deriving, ocaml, lwt_camlp4, +{ stdenv, fetchzip, which, ocsigen_server, ocaml, lwt_react, opaline, ppx_tools, ppx_deriving, findlib +, ppx_tools_versioned , js_of_ocaml-ocamlbuild, js_of_ocaml-ppx, js_of_ocaml-ppx_deriving_json , js_of_ocaml-lwt , js_of_ocaml-tyxml , lwt_ppx }: +if !stdenv.lib.versionAtLeast ocaml.version "4.07" +then throw "eliom is not available for OCaml ${ocaml.version}" +else + stdenv.mkDerivation rec { pname = "eliom"; - version = "6.7.0"; + version = "6.8.0"; src = fetchzip { url = "https://github.com/ocsigen/eliom/archive/${version}.tar.gz"; - sha256 = "0mrlpvjaihpsf2xr6p1gs0sz4cwzkknf5b1s32bhmqq5qzsh4j8k"; + sha256 = "0di4q0wzbnk9sxlaj97ivghzh8qvjb8n17h80y4nmqhys97pldif"; }; - patches = [ ./camlp4.patch ]; - buildInputs = [ ocaml which findlib js_of_ocaml-ocamlbuild js_of_ocaml-ppx_deriving_json opaline ppx_tools - ocsigen_deriving + ppx_tools_versioned ]; propagatedBuildInputs = [ js_of_ocaml-lwt js_of_ocaml-ppx js_of_ocaml-tyxml - lwt_camlp4 lwt_ppx lwt_react ocsigen_server @@ -40,7 +42,7 @@ stdenv.mkDerivation rec meta = { homepage = http://ocsigen.org/eliom/; - description = "Ocaml Framework for programming Web sites and client/server Web applications"; + description = "OCaml Framework for programming Web sites and client/server Web applications"; longDescription =''Eliom is a framework for programming Web sites and client/server Web applications. It introduces new concepts to diff --git a/nixpkgs/pkgs/development/ocaml-modules/eqaf/default.nix b/nixpkgs/pkgs/development/ocaml-modules/eqaf/default.nix new file mode 100644 index 00000000000..966fe30197d --- /dev/null +++ b/nixpkgs/pkgs/development/ocaml-modules/eqaf/default.nix @@ -0,0 +1,20 @@ +{ lib, fetchurl, buildDunePackage }: + +buildDunePackage rec { + minimumOCamlVersion = "4.03"; + pname = "eqaf"; + version = "0.5"; + + src = fetchurl { + url = "https://github.com/mirage/eqaf/releases/download/v${version}/eqaf-v${version}.tbz"; + sha256 = "1wkkmw8q2ml7ifpg0g06y0sclq0zvjf6dpsi36dnci7f230q3vsq"; + }; + + meta = { + description = "Constant time equal function to avoid timing attacks in OCaml"; + homepage = "https://github.com/mirage/eqaf"; + license = lib.licenses.mit; + maintainers = [ lib.maintainers.vbgl ]; + }; + +} diff --git a/nixpkgs/pkgs/development/ocaml-modules/faraday/default.nix b/nixpkgs/pkgs/development/ocaml-modules/faraday/default.nix index d739b33c8fa..0c3eb5459cb 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/faraday/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/faraday/default.nix @@ -1,4 +1,4 @@ -{ lib, fetchFromGitHub, buildDunePackage, alcotest, bigstringaf }: +{ lib, fetchFromGitHub, buildDunePackage, ocaml, alcotest, bigstringaf }: buildDunePackage rec { pname = "faraday"; @@ -13,9 +13,9 @@ buildDunePackage rec { sha256 = "0z6ikwlqad91iac0q5z88p3wzq5k15y86ckzmhdq1aqwrcm14bq2"; }; - buildInputs = [ alcotest ]; + checkInputs = lib.optional doCheck alcotest; propagatedBuildInputs = [ bigstringaf ]; - doCheck = true; + doCheck = lib.versions.majorMinor ocaml.version != "4.07"; meta = { description = "Serialization library built for speed and memory efficiency"; diff --git a/nixpkgs/pkgs/development/ocaml-modules/httpaf/default.nix b/nixpkgs/pkgs/development/ocaml-modules/httpaf/default.nix index bec4f947ce3..42e7c1eac1b 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/httpaf/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/httpaf/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, buildDunePackage, angstrom, faraday, alcotest }: +{ lib, fetchFromGitHub, buildDunePackage, ocaml, angstrom, faraday, alcotest }: buildDunePackage rec { pname = "httpaf"; @@ -11,14 +11,14 @@ buildDunePackage rec { sha256 = "0i2r004ihj00hd97475y8nhjqjln58xx087zcjl0dfp0n7q80517"; }; - buildInputs = [ alcotest ]; + checkInputs = lib.optional doCheck alcotest; propagatedBuildInputs = [ angstrom faraday ]; - doCheck = true; + doCheck = lib.versions.majorMinor ocaml.version != "4.07"; meta = { description = "A high-performance, memory-efficient, and scalable web server for OCaml"; - license = stdenv.lib.licenses.bsd3; - maintainers = [ stdenv.lib.maintainers.vbgl ]; + license = lib.licenses.bsd3; + maintainers = [ lib.maintainers.vbgl ]; inherit (src.meta) homepage; }; } diff --git a/nixpkgs/pkgs/development/ocaml-modules/lru/default.nix b/nixpkgs/pkgs/development/ocaml-modules/lru/default.nix index d6ff1721199..e5c7937b27a 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/lru/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/lru/default.nix @@ -1,25 +1,20 @@ -{ stdenv, fetchurl, ocaml, findlib, ocamlbuild, topkg, psq }: +{ lib, fetchurl, buildDunePackage, psq }: -stdenv.mkDerivation rec { - name = "ocaml${ocaml.version}-lru-${version}"; - version = "0.2.0"; +buildDunePackage rec { + pname = "lru"; + version = "0.3.0"; src = fetchurl { - url = "https://github.com/pqwy/lru/releases/download/v${version}/lru-${version}.tbz"; - sha256 = "0bd7js9rrma1fjjjjc3fgr9l5fjbhgihx2nsaf96g2b35iiaimd0"; + url = "https://github.com/pqwy/lru/releases/download/v${version}/lru-v${version}.tbz"; + sha256 = "1ab9rd7cq15ml8x0wjl44wy99h5z7x4g9vkkz4i2d7n84ghy7vw4"; }; - buildInputs = [ ocaml findlib ocamlbuild topkg ]; - propagatedBuildInputs = [ psq ]; - inherit (topkg) buildPhase installPhase; - meta = { homepage = "https://github.com/pqwy/lru"; description = "Scalable LRU caches for OCaml"; - maintainers = [ stdenv.lib.maintainers.vbgl ]; - license = stdenv.lib.licenses.isc; - inherit (ocaml.meta) platforms; + maintainers = [ lib.maintainers.vbgl ]; + license = lib.licenses.isc; }; } diff --git a/nixpkgs/pkgs/development/ocaml-modules/menhir/default.nix b/nixpkgs/pkgs/development/ocaml-modules/menhir/default.nix index 1a2a31277af..23e31e75779 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/menhir/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/menhir/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, ocaml, findlib, ocamlbuild -, version ? if stdenv.lib.versionAtLeast (stdenv.lib.getVersion ocaml) "4.02" then "20181113" else "20140422" +, version ? if stdenv.lib.versionAtLeast (stdenv.lib.getVersion ocaml) "4.02" then "20190626" else "20140422" }@args: let @@ -7,6 +7,7 @@ let if version == "20140422" then { url = "http://cristal.inria.fr/~fpottier/menhir/menhir-20140422.tar.gz"; sha256 = "1ki1f2id6a14h9xpv2k8yb6px7dyw8cvwh39csyzj4qpzx7wia0d"; } else if version == "20170712" then { url = "http://gallium.inria.fr/~fpottier/menhir/menhir-20170712.tar.gz"; sha256 = "006hq3bwj81j67f2k9cgzj5wr4hai8j36925p5n3sd2j01ljsj6a"; } else if version == "20181113" then { url = "https://gitlab.inria.fr/fpottier/menhir/repository/20181113/archive.tar.gz"; sha256 = "0hl611l0gyl7b2bm7m0sk7vjz14m0i7znrnjq3gw58pylj934dx4"; } + else if version == "20190626" then { url = "https://gitlab.inria.fr/fpottier/menhir/repository/20190626/archive.tar.gz"; sha256 = "0nigjnskg89knyi2zj1w211mb1pvkrwfqpz9a0qbw80k3hm8gg0h"; } else throw ("menhir: unknown version " ++ version) ); in diff --git a/nixpkgs/pkgs/development/ocaml-modules/ocsigen-start/default.nix b/nixpkgs/pkgs/development/ocaml-modules/ocsigen-start/default.nix index 1287c9b80b5..a8eea5b0c07 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/ocsigen-start/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/ocsigen-start/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchFromGitHub, ocaml, findlib, ocsigen-toolkit, eliom, ocaml_pcre, pgocaml, macaque, safepass, yojson, ocsigen_deriving, ocsigen_server -, js_of_ocaml-camlp4 +, js_of_ocaml-camlp4, lwt_camlp4 , resource-pooling }: @@ -7,7 +7,7 @@ stdenv.mkDerivation rec { name = "ocaml${ocaml.version}-ocsigen-start-${version}"; version = "1.8.0"; - buildInputs = [ ocaml findlib eliom js_of_ocaml-camlp4 ]; + buildInputs = [ ocaml findlib eliom js_of_ocaml-camlp4 lwt_camlp4 ]; propagatedBuildInputs = [ pgocaml macaque safepass ocaml_pcre ocsigen-toolkit yojson ocsigen_deriving ocsigen_server resource-pooling ]; patches = [ ./templates-dir.patch ]; diff --git a/nixpkgs/pkgs/development/ocaml-modules/psq/default.nix b/nixpkgs/pkgs/development/ocaml-modules/psq/default.nix index 08b9c10d982..ff9c52c50a7 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/psq/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/psq/default.nix @@ -1,27 +1,21 @@ -{ stdenv, fetchurl, ocaml, findlib, ocamlbuild, topkg }: +{ lib, buildDunePackage, fetchurl, seq }: -if !stdenv.lib.versionAtLeast ocaml.version "4.02" -then throw "psq is not available for OCaml ${ocaml.version}" -else - -stdenv.mkDerivation rec { - name = "ocaml${ocaml.version}-psq-${version}"; - version = "0.1.0"; +buildDunePackage rec { + minimumOCamlVersion = "4.03"; + pname = "psq"; + version = "0.2.0"; src = fetchurl { - url = "https://github.com/pqwy/psq/releases/download/v${version}/psq-${version}.tbz"; - sha256 = "08ghgdivbjrxnaqc3hsb69mr9s2ql5ds0fb97b7z6zimzqibz6lp"; + url = "https://github.com/pqwy/psq/releases/download/v${version}/psq-v${version}.tbz"; + sha256 = "1j4lqkq17rskhgcrpgr4n1m1a2b1x35mlxj6f9g05rhpmgvgvknk"; }; - buildInputs = [ ocaml findlib ocamlbuild topkg ]; - - inherit (topkg) buildPhase installPhase; + propagatedBuildInputs = [ seq ]; meta = { description = "Functional Priority Search Queues for OCaml"; homepage = "https://github.com/pqwy/psq"; - maintainers = [ stdenv.lib.maintainers.vbgl ]; - license = stdenv.lib.licenses.isc; - inherit (ocaml.meta) platforms; + maintainers = [ lib.maintainers.vbgl ]; + license = lib.licenses.isc; }; } diff --git a/nixpkgs/pkgs/development/ocaml-modules/spelll/default.nix b/nixpkgs/pkgs/development/ocaml-modules/spelll/default.nix new file mode 100644 index 00000000000..5901dcb896a --- /dev/null +++ b/nixpkgs/pkgs/development/ocaml-modules/spelll/default.nix @@ -0,0 +1,24 @@ +{ lib, fetchFromGitHub, buildDunePackage +, seq +}: + +buildDunePackage rec { + pname = "spelll"; + version = "0.3"; + + src = fetchFromGitHub { + owner = "c-cube"; + repo = pname; + rev = "v${version}"; + sha256 = "03adqisgsazsxdkrypp05k3g91hydfgcif2014il63gdbd9nhzlh"; + }; + + propagatedBuildInputs = [ seq ]; + + meta = { + inherit (src.meta) homepage; + description = "Fuzzy string searching, using Levenshtein automaton"; + license = lib.licenses.bsd2; + maintainers = [ lib.maintainers.vbgl ]; + }; +} diff --git a/nixpkgs/pkgs/development/perl-modules/Device-OUI-1.04-hash.patch b/nixpkgs/pkgs/development/perl-modules/Device-OUI-1.04-hash.patch new file mode 100644 index 00000000000..6133ab67475 --- /dev/null +++ b/nixpkgs/pkgs/development/perl-modules/Device-OUI-1.04-hash.patch @@ -0,0 +1,11 @@ +--- Device-OUI-1.04/lib/Device/OUI.pm.orig 2009-03-07 02:23:17.000000000 +0000 ++++ Device-OUI-1.04/lib/Device/OUI.pm 2016-08-09 08:19:00.642799675 +0100 +@@ -54,7 +54,7 @@ + for my $x ( keys %hash ) { + if ( not defined $hash{ $x } ) { $hash{ $x } = '' } + } +- return $handle->{ $oui } = join( "\0", %hash ); ++ return $handle->{ $oui } = join( "\0", map {$_,$hash{$_}} sort keys %hash ); + } elsif ( my $x = $handle->{ $oui } ) { + return { split( "\0", $x ) }; + } diff --git a/nixpkgs/pkgs/development/python-modules/PyLD/default.nix b/nixpkgs/pkgs/development/python-modules/PyLD/default.nix index 0862fa63072..df8738ce247 100644 --- a/nixpkgs/pkgs/development/python-modules/PyLD/default.nix +++ b/nixpkgs/pkgs/development/python-modules/PyLD/default.nix @@ -1,4 +1,4 @@ -{ stdenv, buildPythonPackage, fetchFromGitHub, python, gnugrep }: +{ stdenv, buildPythonPackage, fetchFromGitHub, python, requests, gnugrep }: let @@ -18,17 +18,19 @@ let in -buildPythonPackage { - pname = "PyLD"; - version = "0.7.2"; +buildPythonPackage rec { + pname = "pyld"; + version = "1.0.5"; src = fetchFromGitHub { owner = "digitalbazaar"; - repo = "pyld"; - rev = "652473f828e9a396d4c1db9addbd294fb7db1797"; - sha256 = "1bmpz4s6j7by6l45wwxy7dn7hmrhxc26kbx2hbfy41x29vbjg6j9"; + repo = pname; + rev = version; + sha256 = "0z2vkllw8bvzxripwb6l757r7av5qwhzsiy4061gmlhq8z8gq961"; }; + propagatedBuildInputs = [ requests ]; + # Unfortunately PyLD does not pass all testcases in the JSON-LD corpus. We # check for at least a minimum amount of successful tests so we know it's not # getting worse, at least. diff --git a/nixpkgs/pkgs/development/python-modules/aioredis/default.nix b/nixpkgs/pkgs/development/python-modules/aioredis/default.nix new file mode 100644 index 00000000000..7b391a5b42f --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/aioredis/default.nix @@ -0,0 +1,29 @@ +{ stdenv, buildPythonPackage, fetchPypi +, pkgs, async-timeout, hiredis, isPyPy, isPy27 +}: + +buildPythonPackage rec { + pname = "aioredis"; + version = "1.2.0"; + + disabled = isPy27; + + src = fetchPypi { + inherit pname version; + sha256 = "06i53xpz4x6qrmdxqwvkpd17lbgmwfq20v0jrwc73f5y57kjpml4"; + }; + + propagatedBuildInputs = [ + async-timeout + ] ++ stdenv.lib.optional (!isPyPy) hiredis; + + # Wants to run redis-server, hardcoded FHS paths, too much trouble. + doCheck = false; + + meta = with stdenv.lib; { + description = "Asyncio (PEP 3156) Redis client library"; + homepage = https://github.com/aio-libs/aioredis; + license = licenses.mit; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/aresponses/default.nix b/nixpkgs/pkgs/development/python-modules/aresponses/default.nix new file mode 100644 index 00000000000..9e64d2f65ff --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/aresponses/default.nix @@ -0,0 +1,38 @@ +{ lib +, buildPythonPackage +, fetchPypi +# propagatedBuildInputs +, aiohttp +# buildInputs +, pytest +, pytest-asyncio +}: + +buildPythonPackage rec { + pname = "aresponses"; + version = "1.1.1"; + + src = fetchPypi { + inherit pname version; + sha256 = "d1d6ef52b9a97142d106688cf9b112602ef3dc66f6368de8f91f47241d8cfc9c"; + }; + + propagatedBuildInputs = [ + aiohttp + ]; + + buildInputs = [ + pytest + pytest-asyncio + ]; + + # tests only distributed via git repository, not pypi + doCheck = false; + + meta = with lib; { + description = "Asyncio testing server"; + homepage = "https://github.com/circleup/aresponses"; + license = licenses.mit; + maintainers = [ maintainers.makefu ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/azure-applicationinsights/default.nix b/nixpkgs/pkgs/development/python-modules/azure-applicationinsights/default.nix index 74f7cf61b13..f0e70b8d86c 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-applicationinsights/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-applicationinsights/default.nix @@ -25,7 +25,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Application Insights Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-applicotioninsights; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-batch/default.nix b/nixpkgs/pkgs/development/python-modules/azure-batch/default.nix index 42bb4cbad6c..f772d16942f 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-batch/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-batch/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Batch Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/batch?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-common/default.nix b/nixpkgs/pkgs/development/python-modules/azure-common/default.nix index b3c6f3fa1cc..03756dce33c 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-common/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-common/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure common code"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-common; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-cosmos/default.nix b/nixpkgs/pkgs/development/python-modules/azure-cosmos/default.nix index 72d5b9a6ff7..f5219e950f1 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-cosmos/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-cosmos/default.nix @@ -21,7 +21,7 @@ buildPythonPackage rec { meta = with lib; { description = "Azure Cosmos DB API"; - homepage = https://github.com/Azure/azure-cosmos-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ jonringer ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-cosmosdb-nspkg/default.nix b/nixpkgs/pkgs/development/python-modules/azure-cosmosdb-nspkg/default.nix index fef2614e1ae..7a7c0e7215d 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-cosmosdb-nspkg/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-cosmosdb-nspkg/default.nix @@ -22,7 +22,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure CosmosDB namespace package"; - homepage = https://github.com/Azure/azure-cosmos-table-python/tree/master/azure-cosmosdb-nspkg; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-cosmosdb-table/default.nix b/nixpkgs/pkgs/development/python-modules/azure-cosmosdb-table/default.nix index 6a5d54b8298..31a7d81dcab 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-cosmosdb-table/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-cosmosdb-table/default.nix @@ -32,7 +32,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Log Analytics Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/cosmosdb?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-datalake-store/default.nix b/nixpkgs/pkgs/development/python-modules/azure-datalake-store/default.nix index f2fdbd40edc..0b7d0c9c775 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-datalake-store/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-datalake-store/default.nix @@ -32,7 +32,7 @@ buildPythonPackage rec { meta = with lib; { description = "This project is the Python filesystem library for Azure Data Lake Store"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/data-lake-store?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-eventgrid/default.nix b/nixpkgs/pkgs/development/python-modules/azure-eventgrid/default.nix index 79449c328b0..35e291fd30f 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-eventgrid/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-eventgrid/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "A fully-managed intelligent event routing service that allows for uniform event consumption using a publish-subscribe model"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/event-grid?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-graphrbac/default.nix b/nixpkgs/pkgs/development/python-modules/azure-graphrbac/default.nix index f5c1131f660..57fec6ff525 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-graphrbac/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-graphrbac/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Graph RBAC Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-graphrbac; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-keyvault/default.nix b/nixpkgs/pkgs/development/python-modules/azure-keyvault/default.nix index ed0fe138769..1c4f9f4ee80 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-keyvault/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-keyvault/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Key Vault Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/key-vault?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-loganalytics/default.nix b/nixpkgs/pkgs/development/python-modules/azure-loganalytics/default.nix index 6a2b57052cc..ab512480f0c 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-loganalytics/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-loganalytics/default.nix @@ -25,7 +25,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Log Analytics Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/loganalytics/client?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-advisor/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-advisor/default.nix index c2423095280..66ee264ef45 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-advisor/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-advisor/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Advisor Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-advisor; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-applicationinsights/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-applicationinsights/default.nix index d7e27eabf64..57c3aec3d45 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-applicationinsights/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-applicationinsights/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Application Insights Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-applicationinsights; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-authorization/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-authorization/default.nix index 7953234f6e2..ef99e4e3405 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-authorization/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-authorization/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Authorization Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-authorization; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-batch/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-batch/default.nix index f218fe58e87..91936af014f 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-batch/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-batch/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Batch Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-batch; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-batchai/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-batchai/default.nix index faa4d5bc39a..e1938738597 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-batchai/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-batchai/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Batch AI Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-batchai; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-billing/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-billing/default.nix index 73ce99eb752..666d10d87e5 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-billing/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-billing/default.nix @@ -34,7 +34,7 @@ buildPythonPackage { meta = with lib; { description = "This is the Microsoft Azure Billing Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-billing; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-cdn/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-cdn/default.nix index 7ad27938082..bbee8b39993 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-cdn/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-cdn/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure CDN Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-cdn; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-cognitiveservices/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-cognitiveservices/default.nix index fc12cafc117..c535971c7bf 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-cognitiveservices/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-cognitiveservices/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Cognitive Services Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-cognitiveservices; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-commerce/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-commerce/default.nix index ed0cb18aff3..2be5d27f82a 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-commerce/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-commerce/default.nix @@ -34,7 +34,7 @@ buildPythonPackage { meta = with lib; { description = "This is the Microsoft Azure Commerce Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-commerce; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-common/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-common/default.nix index 482c0d190bc..da8dc95dad0 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-common/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-common/default.nix @@ -35,7 +35,7 @@ buildPythonPackage rec { meta = with pkgs.lib; { description = "This is the Microsoft Azure Resource Management common code"; - homepage = https://pypi.org/project/azure-mgmt-common; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-compute/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-compute/default.nix index 1713ca65405..13fe88b5f89 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-compute/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-compute/default.nix @@ -30,7 +30,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Compute Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-compute; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-consumption/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-consumption/default.nix index d074f45cce5..59b4cbee5bf 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-consumption/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-consumption/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Consumption Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-consumption; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-containerinstance/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-containerinstance/default.nix index fd74008c1bf..5bf67fc6787 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-containerinstance/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-containerinstance/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Container Instance Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-containerinstance; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-containerservice/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-containerservice/default.nix index f66712e6f71..5b78fa6b039 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-containerservice/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-containerservice/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Container Service Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-containerservice; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-cosmosdb/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-cosmosdb/default.nix index aa3f44d0797..33617383a1d 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-cosmosdb/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-cosmosdb/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Cosmos DB Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-cosmosdb; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datafactory/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datafactory/default.nix index 7d028a61a55..e768b7b6c60 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datafactory/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datafactory/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Data Factory Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-datafactory; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-analytics/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-analytics/default.nix index 31cfdf0e6d5..c3d690088d1 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-analytics/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-analytics/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Data Lake Analytics Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-datalake-analytics; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-nspkg/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-nspkg/default.nix index 0df7d65374a..ce4f623657e 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-nspkg/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-nspkg/default.nix @@ -23,7 +23,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Data Lake Management namespace package"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-datalake-nspkg; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-store/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-store/default.nix index ce28d0a7749..3164cf2bf15 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-store/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-store/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Data Lake Store Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-datalake-store; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datamigration/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datamigration/default.nix index d752abd6012..cd98e6f98b0 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datamigration/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datamigration/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Data Migration Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-datamigration; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-devspaces/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-devspaces/default.nix index f5830f01ac9..5ce0f30d1f1 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-devspaces/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-devspaces/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Dev Spaces Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-devspaces; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-devtestlabs/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-devtestlabs/default.nix index 62ae975f8d5..76a5f0cbcd2 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-devtestlabs/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-devtestlabs/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure DevTestLabs Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-devtestlabs; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-dns/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-dns/default.nix index 55b5633cfe9..94d51b765e9 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-dns/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-dns/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure DNS Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/dns?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-eventgrid/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-eventgrid/default.nix index 93f3006966c..f5ddbf0fcc7 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-eventgrid/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-eventgrid/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure EventGrid Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/event-grid?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-eventhub/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-eventhub/default.nix index 188789e171c..c780d07c7ce 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-eventhub/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-eventhub/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure EventHub Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/event-hub?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-hanaonazure/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-hanaonazure/default.nix index 26247a7eb39..a0a35f5b5b5 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-hanaonazure/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-hanaonazure/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure SAP Hana on Azure Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/hanaonazure?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-iotcentral/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-iotcentral/default.nix index 9509911709b..22dac6794e4 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-iotcentral/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-iotcentral/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure IoTCentral Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/iot?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-iothub/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-iothub/default.nix index aac5ef06e91..61e51c4390a 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-iothub/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-iothub/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure IoTHub Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/iot?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-iothubprovisioningservices/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-iothubprovisioningservices/default.nix index 502ddccd667..7605e459271 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-iothubprovisioningservices/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-iothubprovisioningservices/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure IoTHub Provisioning Services Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/iot?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-keyvault/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-keyvault/default.nix index b640f7eef0c..99cdfdf922b 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-keyvault/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-keyvault/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Key Vault Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/key-vault?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-loganalytics/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-loganalytics/default.nix index 3473b6e86b4..6d844117c9f 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-loganalytics/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-loganalytics/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Log Analytics Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-loganalytics; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-logic/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-logic/default.nix index 2050e290467..345f11f8626 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-logic/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-logic/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Logic Apps Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/logic-apps?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-machinelearningcompute/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-machinelearningcompute/default.nix index a68f7e43858..47e91ecf49f 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-machinelearningcompute/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-machinelearningcompute/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Machine Learning Compute Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-machinelearningcompute; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-managementgroups/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-managementgroups/default.nix index 03faf442cbd..080647bae96 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-managementgroups/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-managementgroups/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Management Groups Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-managementgroups; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-managementpartner/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-managementpartner/default.nix index be1ddc49a49..c546a7eeba3 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-managementpartner/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-managementpartner/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure ManagementPartner Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-managementpartner; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-maps/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-maps/default.nix index b1e373173d2..2869b6c81d7 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-maps/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-maps/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Maps Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-maps; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-marketplaceordering/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-marketplaceordering/default.nix index 8995f43a08b..db30d30d755 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-marketplaceordering/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-marketplaceordering/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Market Place Ordering Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-marketplaceordering; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-media/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-media/default.nix index 5061fa90ae8..d86b7f40d4b 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-media/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-media/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Media Services Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/media-services?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-monitor/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-monitor/default.nix index 3233e9bc00e..b9a8b3c0158 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-monitor/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-monitor/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Monitor Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/monitoring?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-msi/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-msi/default.nix index 63ebbb298f2..9b1d0bf5a2b 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-msi/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-msi/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure MSI Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-msi; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-network/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-network/default.nix index a950f1f7c03..a94cd58136d 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-network/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-network/default.nix @@ -30,7 +30,7 @@ buildPythonPackage rec { meta = with lib; { description = "Microsoft Azure SDK for Python"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/network?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-notificationhubs/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-notificationhubs/default.nix index 0629eb07b66..a8ebba57648 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-notificationhubs/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-notificationhubs/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Notification Hubs Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/notification-hubs?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-nspkg/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-nspkg/default.nix index 8adb60e99aa..fd2664b0ec0 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-nspkg/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-nspkg/default.nix @@ -22,7 +22,7 @@ buildPythonPackage rec { meta = with pkgs.lib; { description = "Microsoft Azure SDK for Python"; - homepage = https://github.com/Azure/azure-sdk-for-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-policyinsights/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-policyinsights/default.nix index b57146afe3e..4df00abc806 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-policyinsights/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-policyinsights/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Policy Insights Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/policy?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-powerbiembedded/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-powerbiembedded/default.nix index c946bb7ab36..537e576b2b5 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-powerbiembedded/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-powerbiembedded/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Power BI Embedded Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/power-bi?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-rdbms/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-rdbms/default.nix index 2c5e2d1f2ea..ae4a5fee2c9 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-rdbms/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-rdbms/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure RDBMS Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-rdbms; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-recoveryservices/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-recoveryservices/default.nix index 5e4a500ce3b..5747881fd4e 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-recoveryservices/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-recoveryservices/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Recovery Services Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/recoveryservices?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-recoveryservicesbackup/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-recoveryservicesbackup/default.nix index 0b91309cac3..3bf18f9b4d4 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-recoveryservicesbackup/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-recoveryservicesbackup/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Recovery Services Backup Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/recovery-services-backup?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-redis/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-redis/default.nix index 21cdfbafc92..9274bd53cf6 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-redis/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-redis/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Redis Cache Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/redis?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-relay/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-relay/default.nix index a3491356c9a..718cd985443 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-relay/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-relay/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Relay Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/relay?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-reservations/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-reservations/default.nix index be00d4aa418..fe2a4dac402 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-reservations/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-reservations/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Reservations Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-reservations; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-resource/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-resource/default.nix index c8574680c17..9d5d124dbd8 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-resource/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-resource/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with pkgs.lib; { description = "Microsoft Azure SDK for Python"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/resources?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-scheduler/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-scheduler/default.nix index a25b81aa5fa..366e8df9a75 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-scheduler/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-scheduler/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Scheduler Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/scheduler?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-search/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-search/default.nix index cc287589809..936a1b4269c 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-search/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-search/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Search Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/search?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-servicebus/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-servicebus/default.nix index e5b35e538ee..72c363b3e66 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-servicebus/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-servicebus/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Service Bus Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/servicebus?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-servicefabric/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-servicefabric/default.nix index cf44d66eab7..a80c97ea377 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-servicefabric/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-servicefabric/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Service Fabric Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/servicefabric?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-signalr/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-signalr/default.nix index 050e8e7b4e9..2ccb773c105 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-signalr/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-signalr/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure SignalR Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-signalr; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-sql/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-sql/default.nix index 2cb1c16c503..4a2fe93e6f8 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-sql/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-sql/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure SQL Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/sql?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-storage/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-storage/default.nix index d056a7ad598..44d5f231115 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-storage/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-storage/default.nix @@ -28,7 +28,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Storage Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/storage?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-subscription/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-subscription/default.nix index d19aba5fbc2..962add966a5 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-subscription/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-subscription/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Subscription Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-subscription; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-trafficmanager/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-trafficmanager/default.nix index 87b0603877b..946be6f34ca 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-trafficmanager/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-trafficmanager/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Traffic Manager Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/traffic-manager?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-web/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-web/default.nix index 019d5bdc1e0..00d55f391d5 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-web/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-web/default.nix @@ -10,12 +10,12 @@ buildPythonPackage rec { pname = "azure-mgmt-web"; - version = "0.42.0"; + version = "0.43.0"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "0vp40i9aaw5ycz7s7qqir6jq7327f7zg9j9i8g31qkfl1h1c7pdn"; + sha256 = "0yqxk2zr1ibm2vycyq4vy9hy0xhznma5x3kjl6qxhmb4kyyyljkh"; }; propagatedBuildInputs = [ @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Web Apps Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/webapps?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-nspkg/default.nix b/nixpkgs/pkgs/development/python-modules/azure-nspkg/default.nix index bb1cb41f341..5be90194194 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-nspkg/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-nspkg/default.nix @@ -19,7 +19,7 @@ buildPythonPackage rec { meta = with lib; { description = "Microsoft Azure SDK for Python"; - homepage = https://github.com/Azure/azure-sdk-for-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-servicebus/default.nix b/nixpkgs/pkgs/development/python-modules/azure-servicebus/default.nix index 84ebf617bae..7656e7c6376 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-servicebus/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-servicebus/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Service Bus Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/free/master/azure-servicebus; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-servicefabric/default.nix b/nixpkgs/pkgs/development/python-modules/azure-servicefabric/default.nix index 6b9ac45ad97..b07a34f5bde 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-servicefabric/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-servicefabric/default.nix @@ -25,7 +25,7 @@ buildPythonPackage rec { meta = with lib; { description = "This project provides a client library in Python that makes it easy to consume Microsoft Azure Storage services"; - homepage = https://pypi.org/project/azure-servicefabric; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-servicemanagement-legacy/default.nix b/nixpkgs/pkgs/development/python-modules/azure-servicemanagement-legacy/default.nix index 51e1c08e63d..dbd4c1c040f 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-servicemanagement-legacy/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-servicemanagement-legacy/default.nix @@ -30,7 +30,7 @@ buildPythonPackage { meta = with lib; { description = "This is the Microsoft Azure Service Management Legacy Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-servicemanagement-legacy; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-storage-blob/default.nix b/nixpkgs/pkgs/development/python-modules/azure-storage-blob/default.nix index 2c00d78a718..14bd182ea1b 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-storage-blob/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-storage-blob/default.nix @@ -26,7 +26,7 @@ buildPythonPackage rec { meta = with lib; { description = "Client library for Microsoft Azure Storage services containing the blob service APIs"; - homepage = https://github.com/Azure/azure-storage-python/tree/master/azure-storage-blob; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ cmcdragonkai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-storage-common/default.nix b/nixpkgs/pkgs/development/python-modules/azure-storage-common/default.nix index dc7a0c3107c..55339acbac5 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-storage-common/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-storage-common/default.nix @@ -30,7 +30,7 @@ buildPythonPackage rec { meta = with lib; { description = "Client library for Microsoft Azure Storage services containing common code shared by blob, file and queue"; - homepage = https://github.com/Azure/azure-storage-python/tree/master/azure-storage-common; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ cmcdragonkai ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-storage-file/default.nix b/nixpkgs/pkgs/development/python-modules/azure-storage-file/default.nix index ffdb2f656c1..c5f63fada2d 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-storage-file/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-storage-file/default.nix @@ -26,7 +26,7 @@ buildPythonPackage rec { meta = with lib; { description = "Client library for Microsoft Azure Storage services containing the file service APIs"; - homepage = https://github.com/Azure/azure-storage-python/tree/master/azure-storage-file; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ cmcdragonkai ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-storage-nspkg/default.nix b/nixpkgs/pkgs/development/python-modules/azure-storage-nspkg/default.nix index 5efef893a75..b8e243c9b6a 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-storage-nspkg/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-storage-nspkg/default.nix @@ -22,7 +22,7 @@ buildPythonPackage rec { meta = with lib; { description = "Client library for Microsoft Azure Storage services owning the azure.storage namespace, user should not use this directly"; - homepage = https://github.com/Azure/azure-storage-python/tree/master/azure-storage-nspkg; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ cmcdragonkai ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-storage-queue/default.nix b/nixpkgs/pkgs/development/python-modules/azure-storage-queue/default.nix index 1ca1288e9b4..6e536a35e1b 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-storage-queue/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-storage-queue/default.nix @@ -24,7 +24,7 @@ buildPythonPackage rec { meta = with lib; { description = "Client library for Microsoft Azure Storage services containing the queue service APIs"; - homepage = https://github.com/Azure/azure-storage-python/tree/master/azure-storage-queue; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ cmcdragonkai ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-storage/default.nix b/nixpkgs/pkgs/development/python-modules/azure-storage/default.nix index 6693a7464d4..c199fbbeb36 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-storage/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-storage/default.nix @@ -28,7 +28,7 @@ buildPythonPackage rec { meta = with pkgs.lib; { description = "Microsoft Azure SDK for Python"; - homepage = "https://azure.microsoft.com/en-us/develop/python/"; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.asl20; maintainers = with maintainers; [ olcai ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/babelfish/default.nix b/nixpkgs/pkgs/development/python-modules/babelfish/default.nix index 003f996d29a..c8245a15c7f 100644 --- a/nixpkgs/pkgs/development/python-modules/babelfish/default.nix +++ b/nixpkgs/pkgs/development/python-modules/babelfish/default.nix @@ -1,4 +1,4 @@ -{ stdenv, buildPythonPackage, fetchPypi }: +{ stdenv, buildPythonPackage, fetchPypi, setuptools }: buildPythonPackage rec { pname = "babelfish"; @@ -9,6 +9,8 @@ buildPythonPackage rec { sha256 = "8380879fa51164ac54a3e393f83c4551a275f03617f54a99d70151358e444104"; }; + propagatedBuildInputs = [ setuptools ]; + meta = with stdenv.lib; { homepage = https://pypi.python.org/pypi/babelfish; description = "A module to work with countries and languages"; diff --git a/nixpkgs/pkgs/development/python-modules/botocore/default.nix b/nixpkgs/pkgs/development/python-modules/botocore/default.nix index 5c8b00fc6c1..e1465e86b0e 100644 --- a/nixpkgs/pkgs/development/python-modules/botocore/default.nix +++ b/nixpkgs/pkgs/development/python-modules/botocore/default.nix @@ -19,6 +19,8 @@ buildPythonPackage rec { sha256 = "19ls7hdmcaqrrq8przqy05s8chsy8315ic2zg185k6m64pvr0qhd"; }; + outputs = [ "out" "dev" ]; + propagatedBuildInputs = [ dateutil jmespath diff --git a/nixpkgs/pkgs/development/python-modules/capstone/default.nix b/nixpkgs/pkgs/development/python-modules/capstone/default.nix index 2bd22ebf0d9..035632f9cf2 100644 --- a/nixpkgs/pkgs/development/python-modules/capstone/default.nix +++ b/nixpkgs/pkgs/development/python-modules/capstone/default.nix @@ -2,6 +2,7 @@ , buildPythonPackage , fetchPypi , fetchpatch +, setuptools }: buildPythonPackage rec { @@ -17,6 +18,8 @@ buildPythonPackage rec { sha256 = "3c0f73db9f8392f7048c8a244809f154d7c39f354e2167f6c477630aa517ed04"; }; + propagatedBuildInputs = [ setuptools ]; + patches = [ (fetchpatch { stripLen = 2; diff --git a/nixpkgs/pkgs/development/python-modules/channels-redis/default.nix b/nixpkgs/pkgs/development/python-modules/channels-redis/default.nix new file mode 100644 index 00000000000..8812e4097ab --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/channels-redis/default.nix @@ -0,0 +1,55 @@ +{ stdenv, buildPythonPackage, fetchPypi, pythonOlder +, redis, channels, msgpack, aioredis, hiredis, asgiref +# , fetchFromGitHub, async_generator, async-timeout, cryptography, pytest, pytest-asyncio +}: + +buildPythonPackage rec { + pname = "channels-redis"; + version = "2.4.0"; + + disabled = pythonOlder "3.6"; + + src = fetchPypi { + inherit version; + pname = "channels_redis"; + sha256 = "1g4izdf8237pwxn85bv5igc2bajrvck1p2a7q448qmjfznrbrk5p"; + }; + + buildInputs = [ redis hiredis ]; + + propagatedBuildInputs = [ channels msgpack aioredis asgiref ]; + + # Fetch from github (no tests files on pypi) + # src = fetchFromGitHub { + # rev = version; + # owner = "django"; + # repo = "channels_redis"; + # sha256 = "05niaqjv790mnrvca26kbnvb50fgnk2zh0k4np60cn6ilp4nl0kc"; + # }; + # + # checkInputs = [ + # async_generator + # async-timeout + # cryptography + # pytest + # pytest-asyncio + # ]; + # + # # Fails with : ConnectionRefusedError: [Errno 111] Connect call failed ('127.0.0.1', 6379) + # # (even with a local redis instance running) + # checkPhase = '' + # pytest -p no:django tests/ + # ''; + + postPatch = '' + sed -i "s/msgpack~=0.6.0/msgpack/" setup.py + sed -i "s/aioredis~=1.0/aioredis/" setup.py + ''; + + meta = with stdenv.lib; { + homepage = https://github.com/django/channels_redis/; + description = "Redis-backed ASGI channel layer implementation"; + license = licenses.bsd3; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/channels/default.nix b/nixpkgs/pkgs/development/python-modules/channels/default.nix index a3b7e54c57a..0b06516bb5c 100644 --- a/nixpkgs/pkgs/development/python-modules/channels/default.nix +++ b/nixpkgs/pkgs/development/python-modules/channels/default.nix @@ -3,11 +3,11 @@ }: buildPythonPackage rec { pname = "channels"; - version = "2.2.0"; + version = "2.3.0"; src = fetchPypi { inherit pname version; - sha256 = "af7cdba9efb3f55b939917d1b15defb5d40259936013e60660e5e9aff98db4c5"; + sha256 = "0vd2ci1w5r4bhmhk349wclnc289lx14lpwp5k4910m63kywb8nap"; }; # Files are missing in the distribution diff --git a/nixpkgs/pkgs/development/python-modules/confluent-kafka/default.nix b/nixpkgs/pkgs/development/python-modules/confluent-kafka/default.nix index 9e4f4072bf6..8d992f71f33 100644 --- a/nixpkgs/pkgs/development/python-modules/confluent-kafka/default.nix +++ b/nixpkgs/pkgs/development/python-modules/confluent-kafka/default.nix @@ -1,12 +1,12 @@ { stdenv, buildPythonPackage, fetchPypi, isPy3k, rdkafka, requests, avro3k, avro, futures, enum34 }: buildPythonPackage rec { - version = "1.0.1"; + version = "1.2.0"; pname = "confluent-kafka"; src = fetchPypi { inherit pname version; - sha256 = "04bsnyhldcvscdj0dg3hm5k27vmhfi9k6bwz963sd3q2h7g9k6kg"; + sha256 = "1nn8z7ggjj04v97jm33dxh2cv2azy4xi1hwkj9qwbbc68vasc3cp"; }; buildInputs = [ rdkafka requests ] ++ (if isPy3k then [ avro3k ] else [ enum34 avro futures ]) ; diff --git a/nixpkgs/pkgs/development/python-modules/cx_freeze/default.nix b/nixpkgs/pkgs/development/python-modules/cx_freeze/default.nix index 234bb46f97b..dae3e032a39 100644 --- a/nixpkgs/pkgs/development/python-modules/cx_freeze/default.nix +++ b/nixpkgs/pkgs/development/python-modules/cx_freeze/default.nix @@ -2,11 +2,11 @@ buildPythonPackage rec { pname = "cx_Freeze"; - version = "5.1.1"; + version = "6.0"; src = fetchPypi { inherit pname version; - sha256 = "2eadddde670f5c5f6cf88638a0ac4e5d5fe181292a31063275fa56c7bf22426b"; + sha256 = "067bgkgx7i3kw31vaviwysbb1lk91cjw9q90vklsr7nsygjxi0fa"; }; propagatedBuildInputs = [ ncurses ]; diff --git a/nixpkgs/pkgs/development/python-modules/django-auth-ldap/default.nix b/nixpkgs/pkgs/development/python-modules/django-auth-ldap/default.nix new file mode 100644 index 00000000000..4e2377ffb0f --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/django-auth-ldap/default.nix @@ -0,0 +1,30 @@ +{ stdenv +, buildPythonPackage +, fetchPypi, isPy27 +, ldap , django_2_2 +, mock +}: + +buildPythonPackage rec { + pname = "django-auth-ldap"; + version = "2.0.0"; + disabled = isPy27; + src = fetchPypi { + inherit pname version; + sha256 = "1samrxf8lic6a4c0lgw31b38s97l8hnaknd7ilyy2plahmm0h03i"; + }; + + propagatedBuildInputs = [ ldap django_2_2 ]; + checkInputs = [ mock ]; + + # django.core.exceptions.ImproperlyConfigured: Requested setting INSTALLED_APPS, but settings are not configured. You must either define the environment variable DJANGO_SETTINGS_MODULE or call settings.configure() before accessing settings + doCheck = false; + + meta = with stdenv.lib; { + description = "Django authentication backend that authenticates against an LDAP service"; + homepage = https://github.com/django-auth-ldap/django-auth-ldap; + license = licenses.bsd2; + maintainers = with maintainers; [ mmai ]; + platforms = platforms.linux; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/django-cleanup/default.nix b/nixpkgs/pkgs/development/python-modules/django-cleanup/default.nix new file mode 100644 index 00000000000..6484fb8c555 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/django-cleanup/default.nix @@ -0,0 +1,21 @@ +{ stdenv, buildPythonPackage, fetchPypi, django +, redis, async-timeout, hiredis +}: + +buildPythonPackage rec { + pname = "django-cleanup"; + version = "4.0.0"; + src = fetchPypi { + inherit pname version; + sha256 = "195hkany3iwg4wb4cbdrdmanxcahjl87n8v03dbamanx2ya3yb21"; + }; + + checkInputs = [ django ]; + + meta = with stdenv.lib; { + description = "Automatically deletes old file for FileField and ImageField. It also deletes files on models instance deletion"; + homepage = https://github.com/un1t/django-cleanup; + license = licenses.mit; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/django-dynamic-preferences/default.nix b/nixpkgs/pkgs/development/python-modules/django-dynamic-preferences/default.nix new file mode 100644 index 00000000000..28ec9aae24f --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/django-dynamic-preferences/default.nix @@ -0,0 +1,25 @@ +{ stdenv, buildPythonPackage, fetchPypi +, django, persisting-theory, six +}: + +buildPythonPackage rec { + pname = "django-dynamic-preferences"; + version = "1.7.1"; + + src = fetchPypi { + inherit pname version; + sha256 = "1z2dndkpypk4pvb0byh5a771vgp50vn8g1rbk5r3sml6dm4wcn7s"; + }; + + propagatedBuildInputs = [ six django persisting-theory ]; + + # django.core.exceptions.ImproperlyConfigured: Requested setting DYNAMIC_PREFERENCES, but settings are not configured. You must either define the environment variable DJANGO_SETTINGS_MODULE or call settings.configure() before accessing settings + doCheck = false; + + meta = with stdenv.lib; { + homepage = https://github.com/EliotBerriot/django-dynamic-preferences; + description = "Dynamic global and instance settings for your django project"; + license = licenses.bsd3; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/django-filter/default.nix b/nixpkgs/pkgs/development/python-modules/django-filter/default.nix new file mode 100644 index 00000000000..496ccd268f9 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/django-filter/default.nix @@ -0,0 +1,34 @@ +{ stdenv +, buildPythonPackage +, fetchPypi +, django +, djangorestframework, python, mock +}: + +buildPythonPackage rec { + pname = "django-filter"; + version = "2.2.0"; + + src = fetchPypi { + inherit pname version; + sha256 = "057xiijig8r2nxrd9gj1nki168422rsh8ap5vkbr9zyp1mzvbpn3"; + }; + + propagatedBuildInputs = [ django ]; + + # Tests fail (needs the 'crispy_forms' module not packaged on nixos) + doCheck = false; + checkInputs = [ djangorestframework django mock ]; + checkPhase = '' + runHook preCheck + ${python.interpreter} runtests.py tests + runHook postCheck + ''; + + meta = with stdenv.lib; { + description = "Reusable Django application for allowing users to filter querysets dynamically"; + homepage = "https://pypi.org/project/django-filter/"; + license = licenses.bsd3; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/django-oauth-toolkit/default.nix b/nixpkgs/pkgs/development/python-modules/django-oauth-toolkit/default.nix new file mode 100644 index 00000000000..1927e4e9954 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/django-oauth-toolkit/default.nix @@ -0,0 +1,27 @@ +{ stdenv, buildPythonPackage, fetchFromGitHub +, django_2_2, requests, oauthlib +}: + +buildPythonPackage rec { + pname = "django-oauth-toolkit"; + version = "1.2.0"; + + src = fetchFromGitHub { + owner = "jazzband"; + repo = pname; + rev = version; + sha256 = "1zbksxrcxlqnapmlvx4rgvpqc4plgnq0xnf45cjwzwi1626zs8g6"; + }; + + propagatedBuildInputs = [ django_2_2 requests oauthlib ]; + + # django.core.exceptions.ImproperlyConfigured: Requested setting OAUTH2_PROVIDER, but settings are not configured. You must either define the environment variable DJANGO_SETTINGS_MODULE or call settings.configure() before accessing settings + doCheck = false; + + meta = with stdenv.lib; { + description = "OAuth2 goodies for the Djangonauts"; + homepage = https://github.com/jazzband/django-oauth-toolkit; + license = licenses.bsd2; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/django-storages/default.nix b/nixpkgs/pkgs/development/python-modules/django-storages/default.nix new file mode 100644 index 00000000000..a82f9d3fb9b --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/django-storages/default.nix @@ -0,0 +1,25 @@ +{ stdenv, buildPythonPackage, fetchPypi +, django +}: + +buildPythonPackage rec { + pname = "django-storages"; + version = "1.7.2"; + + src = fetchPypi { + inherit pname version; + sha256 = "01xq232h321716r08rari9payas7fsiwwr5q6zgcrlwkckwxxczk"; + }; + + propagatedBuildInputs = [ django ]; + + # django.core.exceptions.ImproperlyConfigured: Requested setting DEFAULT_INDEX_TABLESPACE, but settings are not configured. You must either define the environment variable DJANGO_SETTINGS_MODULE or call settings.configure() before accessing settings. + doCheck = false; + + meta = with stdenv.lib; { + description = "Collection of custom storage backends for Django"; + homepage = https://django-storages.readthedocs.io; + license = licenses.bsd3; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/django-versatileimagefield/default.nix b/nixpkgs/pkgs/development/python-modules/django-versatileimagefield/default.nix new file mode 100644 index 00000000000..d4f46e0355f --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/django-versatileimagefield/default.nix @@ -0,0 +1,31 @@ +{ stdenv +, buildPythonPackage +, fetchPypi +, django +, python +, pillow +}: + +buildPythonPackage rec { + pname = "django-versatileimagefield"; + version = "1.10"; + + src = fetchPypi { + inherit pname version; + sha256 = "0y0r6ssxyg9x1rylpyxg2ha2hl18080k5xp308k4ankpjm50hvc8"; + }; + propagatedBuildInputs = [ pillow ]; + + checkInputs = [ django ]; + + # tests not included with pypi release + doCheck = false; + + meta = with stdenv.lib; { + description = "Replaces django's ImageField with a more flexible interface"; + homepage = "https://github.com/respondcreate/django-versatileimagefield/"; + license = licenses.mit; + maintainers = with maintainers; [ mmai ]; + }; +} + diff --git a/nixpkgs/pkgs/development/python-modules/djangorestframework/default.nix b/nixpkgs/pkgs/development/python-modules/djangorestframework/default.nix index 12dcbf3993a..addff19853d 100644 --- a/nixpkgs/pkgs/development/python-modules/djangorestframework/default.nix +++ b/nixpkgs/pkgs/development/python-modules/djangorestframework/default.nix @@ -1,11 +1,11 @@ { stdenv, buildPythonPackage, fetchPypi, django }: buildPythonPackage rec { - version = "3.9.4"; + version = "3.10.3"; pname = "djangorestframework"; src = fetchPypi { inherit pname version; - sha256 = "c12869cfd83c33d579b17b3cb28a2ae7322a53c3ce85580c2a2ebe4e3f56c4fb"; + sha256 = "140hwz52rlqqc10gbw5bhyyi4zbpqj3z7wgnh2jrhs2wfzwwp0fw"; }; # Test settings are missing diff --git a/nixpkgs/pkgs/development/python-modules/elementpath/default.nix b/nixpkgs/pkgs/development/python-modules/elementpath/default.nix index 1d2d34541fa..697ea742ada 100644 --- a/nixpkgs/pkgs/development/python-modules/elementpath/default.nix +++ b/nixpkgs/pkgs/development/python-modules/elementpath/default.nix @@ -1,17 +1,14 @@ -{ buildPythonPackage -, lib -, fetchFromGitHub -}: +{ lib, buildPythonPackage, fetchFromGitHub }: buildPythonPackage rec { - version = "1.1.8"; + version = "1.3.0"; pname = "elementpath"; src = fetchFromGitHub { owner = "sissaschool"; repo = "elementpath"; rev = "v${version}"; - sha256 = "0krczvf8r6pb3hb8qaxl9h2b4qwg180xk66gyxjf002im7ri75aj"; + sha256 = "0ahqqqpcf3fd6xcdhiwwscincyj6h5xyjaacnqxwph1y1b8mnzyw"; }; # avoid circular dependency with xmlschema which directly depends on this diff --git a/nixpkgs/pkgs/development/python-modules/flaky/default.nix b/nixpkgs/pkgs/development/python-modules/flaky/default.nix index 523e6ac53e6..c4e803245d5 100644 --- a/nixpkgs/pkgs/development/python-modules/flaky/default.nix +++ b/nixpkgs/pkgs/development/python-modules/flaky/default.nix @@ -2,22 +2,29 @@ , buildPythonPackage , fetchPypi , mock +, nose , pytest }: buildPythonPackage rec { pname = "flaky"; - version = "3.5.3"; + version = "3.6.1"; src = fetchPypi { inherit pname version; - sha256 = "12bd5e41f372b2190e8d754b6e5829c2f11dbc764e10b30f57e59f829c9ca1da"; + sha256 = "8cd5455bb00c677f787da424eaf8c4a58a922d0e97126d3085db5b279a98b698"; }; - buildInputs = [ mock pytest ]; + checkInputs = [ mock nose pytest ]; - # waiting for feedback https://github.com/box/flaky/issues/97 - doCheck = false; + checkPhase = '' + # based on tox.ini + pytest -k 'example and not options' --doctest-modules test/test_pytest/ + pytest -k 'example and not options' test/test_pytest/ + pytest -p no:flaky test/test_pytest/test_flaky_pytest_plugin.py + nosetests --with-flaky --force-flaky --max-runs 2 test/test_nose/test_nose_options_example.py + pytest --force-flaky --max-runs 2 test/test_pytest/test_pytest_options_example.py + ''; meta = with stdenv.lib; { homepage = https://github.com/box/flaky; diff --git a/nixpkgs/pkgs/development/python-modules/fs-s3fs/default.nix b/nixpkgs/pkgs/development/python-modules/fs-s3fs/default.nix index 26277161b0b..c32489547ce 100644 --- a/nixpkgs/pkgs/development/python-modules/fs-s3fs/default.nix +++ b/nixpkgs/pkgs/development/python-modules/fs-s3fs/default.nix @@ -2,11 +2,11 @@ buildPythonPackage rec { pname = "fs-s3fs"; - version = "1.1.0"; + version = "1.1.1"; src = fetchPypi { inherit pname version; - sha256 = "49bfa4572bb11e37324dd43be935ab99376259eff652365aef0e4a747bb11418"; + sha256 = "0v4pqyflkpz6sp1884jfsxsa68wnxai4rd5la6sgf3s6civ8qzxm"; }; propagatedBuildInputs = [ fs six boto3 ]; diff --git a/nixpkgs/pkgs/development/python-modules/geographiclib/default.nix b/nixpkgs/pkgs/development/python-modules/geographiclib/default.nix new file mode 100644 index 00000000000..0c275b672ab --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/geographiclib/default.nix @@ -0,0 +1,22 @@ +{ stdenv +, buildPythonPackage +, fetchPypi +}: + +buildPythonPackage rec { + pname = "geographiclib"; + version = "1.50"; + + src = fetchPypi { + inherit pname version; + sha256 = "0cn6ap5fkh3mkfa57l5b44z3gvz7j6lpmc9rl4g2jny2gvp4dg8j"; + }; + + meta = with stdenv.lib; { + homepage = "https://geographiclib.sourceforge.io"; + description = "Algorithms for geodesics (Karney, 2013) for solving the direct and inverse problems for an ellipsoid of revolution"; + license = licenses.mit; + maintainers = with maintainers; [ va1entin ]; + }; + +} diff --git a/nixpkgs/pkgs/development/python-modules/geoip2/default.nix b/nixpkgs/pkgs/development/python-modules/geoip2/default.nix index 97371d1b65a..5d26793250c 100644 --- a/nixpkgs/pkgs/development/python-modules/geoip2/default.nix +++ b/nixpkgs/pkgs/development/python-modules/geoip2/default.nix @@ -23,7 +23,7 @@ buildPythonPackage rec { meta = with lib; { description = "MaxMind GeoIP2 API"; homepage = "https://www.maxmind.com/en/home"; - license = licenses.apsl20; + license = licenses.asl20; maintainers = with maintainers; [ ]; }; } diff --git a/nixpkgs/pkgs/development/python-modules/geopandas/default.nix b/nixpkgs/pkgs/development/python-modules/geopandas/default.nix index de313dc1c7d..7436626fc74 100644 --- a/nixpkgs/pkgs/development/python-modules/geopandas/default.nix +++ b/nixpkgs/pkgs/development/python-modules/geopandas/default.nix @@ -4,13 +4,13 @@ buildPythonPackage rec { pname = "geopandas"; - version = "0.5.1"; + version = "0.6.1"; src = fetchFromGitHub { owner = "geopandas"; repo = "geopandas"; rev = "v${version}"; - sha256 = "1j665fpkyfib17z0hn3bg2j96pbkgd36yfif6jyia4yn6g76hlfg"; + sha256 = "0bdgphw43m2nrgcp83j1pnxknnzahm2zmdr55hyz3jjkva7m6dpk"; }; checkInputs = [ pytest Rtree ]; diff --git a/nixpkgs/pkgs/development/python-modules/glasgow/default.nix b/nixpkgs/pkgs/development/python-modules/glasgow/default.nix index 6e314668fae..7717682afda 100644 --- a/nixpkgs/pkgs/development/python-modules/glasgow/default.nix +++ b/nixpkgs/pkgs/development/python-modules/glasgow/default.nix @@ -18,15 +18,15 @@ buildPythonPackage rec { pname = "glasgow"; - version = "unstable-2019-09-28"; - # python setup.py --version - realVersion = "0.1.dev1234+g${lib.substring 0 7 src.rev}"; + version = "unstable-2019-10-16"; + # python software/setup.py --version + realVersion = "0.1.dev1286+g${lib.substring 0 7 src.rev}"; src = fetchFromGitHub { owner = "GlasgowEmbedded"; - repo = "Glasgow"; - rev = "a1cc0333315847980806fd0330021c6de05c5395"; - sha256 = "0rdx7fymz828i73bc559sr67aikydz1m8s2a0i6c86gznh1s3cfk"; + repo = "glasgow"; + rev = "4f968dbe6cf4e9d8e2d0a5163d82e996c24d5e30"; + sha256 = "1b50n12dc0b3jmim5ywg7daq62k5j4wkgmwzk88ric5ri3b8dl2r"; }; nativeBuildInputs = [ setuptools_scm sdcc ]; @@ -42,6 +42,8 @@ buildPythonPackage rec { crcmod ]; + checkInputs = [ yosys icestorm nextpnr ]; + preBuild = '' make -C firmware LIBFX2=${fx2}/share/libfx2 cp firmware/glasgow.ihex software/glasgow @@ -56,6 +58,12 @@ buildPythonPackage rec { python -m unittest discover ''; + makeWrapperArgs = [ + "--set" "YOSYS" "${yosys}/bin/yosys" + "--set" "ICEPACK" "${icestorm}/bin/icepack" + "--set" "NEXTPNR_ICE40" "${nextpnr}/bin/nextpnr-ice40" + ]; + meta = with lib; { description = "Software for Glasgow, a digital interface multitool"; homepage = https://github.com/GlasgowEmbedded/Glasgow; diff --git a/nixpkgs/pkgs/development/python-modules/goobook/default.nix b/nixpkgs/pkgs/development/python-modules/goobook/default.nix index 4e14b14c2aa..a04bf6250e9 100644 --- a/nixpkgs/pkgs/development/python-modules/goobook/default.nix +++ b/nixpkgs/pkgs/development/python-modules/goobook/default.nix @@ -1,5 +1,5 @@ { stdenv, buildPythonPackage, fetchPypi, isPy3k -, google_api_python_client, simplejson, oauth2client +, google_api_python_client, simplejson, oauth2client, setuptools }: buildPythonPackage rec { @@ -12,7 +12,9 @@ buildPythonPackage rec { sha256 = "089a95s6g9izsy1fzpz48p6pz0wpngcbbrvsillm1n53492gfhjg"; }; - propagatedBuildInputs = [ google_api_python_client simplejson oauth2client ]; + propagatedBuildInputs = [ + google_api_python_client simplejson oauth2client setuptools + ]; meta = with stdenv.lib; { description = "Search your google contacts from the command-line or mutt"; diff --git a/nixpkgs/pkgs/development/python-modules/google_api_core/default.nix b/nixpkgs/pkgs/development/python-modules/google_api_core/default.nix index 5cb027eef46..c96230bcf18 100644 --- a/nixpkgs/pkgs/development/python-modules/google_api_core/default.nix +++ b/nixpkgs/pkgs/development/python-modules/google_api_core/default.nix @@ -1,5 +1,5 @@ { lib, buildPythonPackage, fetchPypi, pythonOlder -, google_auth, protobuf, googleapis_common_protos, requests, grpcio, futures, mock, pytest }: +, google_auth, protobuf, googleapis_common_protos, requests, setuptools, grpcio, futures, mock, pytest }: buildPythonPackage rec { pname = "google-api-core"; @@ -12,7 +12,7 @@ buildPythonPackage rec { propagatedBuildInputs = [ googleapis_common_protos protobuf - google_auth requests grpcio + google_auth requests setuptools grpcio ] ++ lib.optional (pythonOlder "3.2") futures; checkInputs = [ mock pytest ]; diff --git a/nixpkgs/pkgs/development/python-modules/google_auth/default.nix b/nixpkgs/pkgs/development/python-modules/google_auth/default.nix index 315d4756e0d..01797673fba 100644 --- a/nixpkgs/pkgs/development/python-modules/google_auth/default.nix +++ b/nixpkgs/pkgs/development/python-modules/google_auth/default.nix @@ -1,5 +1,5 @@ { stdenv, buildPythonPackage, fetchpatch, fetchPypi -, pytest, mock, oauth2client, flask, requests, urllib3, pytest-localserver, six, pyasn1-modules, cachetools, rsa }: +, pytest, mock, oauth2client, flask, requests, setuptools, urllib3, pytest-localserver, six, pyasn1-modules, cachetools, rsa }: buildPythonPackage rec { pname = "google-auth"; @@ -18,7 +18,7 @@ buildPythonPackage rec { ]; checkInputs = [ pytest mock oauth2client flask requests urllib3 pytest-localserver ]; - propagatedBuildInputs = [ six pyasn1-modules cachetools rsa ]; + propagatedBuildInputs = [ six pyasn1-modules cachetools rsa setuptools ]; # The removed test tests the working together of google_auth and google's https://pypi.python.org/pypi/oauth2client # but the latter is deprecated. Since it is not currently part of the nixpkgs collection and deprecated it will diff --git a/nixpkgs/pkgs/development/python-modules/google_cloud_core/default.nix b/nixpkgs/pkgs/development/python-modules/google_cloud_core/default.nix index c57433a3ebd..3aafa3e2687 100644 --- a/nixpkgs/pkgs/development/python-modules/google_cloud_core/default.nix +++ b/nixpkgs/pkgs/development/python-modules/google_cloud_core/default.nix @@ -1,5 +1,5 @@ { stdenv, buildPythonPackage, fetchPypi -, google_api_core, grpcio, pytest, mock }: +, google_api_core, grpcio, pytest, mock, setuptools }: buildPythonPackage rec { pname = "google-cloud-core"; @@ -10,7 +10,7 @@ buildPythonPackage rec { sha256 = "d85b1aaaf3bad9415ad1d8ee5eadce96d7007a82f13ce0a0629a003a11e83f29"; }; - propagatedBuildInputs = [ google_api_core grpcio ]; + propagatedBuildInputs = [ google_api_core grpcio setuptools ]; checkInputs = [ pytest mock ]; checkPhase = '' diff --git a/nixpkgs/pkgs/development/python-modules/google_cloud_storage/default.nix b/nixpkgs/pkgs/development/python-modules/google_cloud_storage/default.nix index efb0c6aacd8..bdd9049e7d4 100644 --- a/nixpkgs/pkgs/development/python-modules/google_cloud_storage/default.nix +++ b/nixpkgs/pkgs/development/python-modules/google_cloud_storage/default.nix @@ -6,6 +6,7 @@ , google_cloud_core , pytest , mock +, setuptools }: buildPythonPackage rec { @@ -17,8 +18,13 @@ buildPythonPackage rec { sha256 = "8032e576e2f91a1d3de2355118040c3bcd9916e0453a6b3f64c1b42ed151690a"; }; + propagatedBuildInputs = [ + google_resumable_media + google_api_core + google_cloud_core + setuptools + ]; checkInputs = [ pytest mock ]; - propagatedBuildInputs = [ google_resumable_media google_api_core google_cloud_core ]; checkPhase = '' pytest tests/unit diff --git a/nixpkgs/pkgs/development/python-modules/google_resumable_media/default.nix b/nixpkgs/pkgs/development/python-modules/google_resumable_media/default.nix index 096f93b017f..afc1a43660f 100644 --- a/nixpkgs/pkgs/development/python-modules/google_resumable_media/default.nix +++ b/nixpkgs/pkgs/development/python-modules/google_resumable_media/default.nix @@ -3,6 +3,7 @@ , fetchPypi , six , requests +, setuptools , pytest , mock }: @@ -17,7 +18,7 @@ buildPythonPackage rec { }; checkInputs = [ pytest mock ]; - propagatedBuildInputs = [ six requests ]; + propagatedBuildInputs = [ requests setuptools six ]; checkPhase = '' py.test tests/unit diff --git a/nixpkgs/pkgs/development/python-modules/googleapis_common_protos/default.nix b/nixpkgs/pkgs/development/python-modules/googleapis_common_protos/default.nix index 337624012aa..2ae649b7432 100644 --- a/nixpkgs/pkgs/development/python-modules/googleapis_common_protos/default.nix +++ b/nixpkgs/pkgs/development/python-modules/googleapis_common_protos/default.nix @@ -1,5 +1,5 @@ { stdenv, buildPythonPackage, fetchPypi -, protobuf, pytest }: +, protobuf, pytest, setuptools }: buildPythonPackage rec { pname = "googleapis-common-protos"; @@ -10,7 +10,7 @@ buildPythonPackage rec { sha256 = "d564872083af40bbcc7091340f17db778a316525c7c76497d58d11b98ca2aa74"; }; - propagatedBuildInputs = [ protobuf ]; + propagatedBuildInputs = [ protobuf setuptools ]; checkInputs = [ pytest ]; doCheck = false; # there are no tests diff --git a/nixpkgs/pkgs/development/python-modules/gsd/1.7.nix b/nixpkgs/pkgs/development/python-modules/gsd/1.7.nix new file mode 100644 index 00000000000..567cc6f8930 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/gsd/1.7.nix @@ -0,0 +1,27 @@ +{ stdenv +, buildPythonPackage +, fetchPypi +, numpy +}: + +buildPythonPackage rec { + version = "1.7.0"; + pname = "gsd"; + + src = fetchPypi { + inherit pname version; + sha256 = "0fpk69wachyydpk9cbs901m7hkwrrvq24ykxsrz62km9ql8lr2vp"; + }; + + propagatedBuildInputs = [ numpy ]; + + # tests not packaged with gsd + doCheck = false; + + meta = with stdenv.lib; { + homepage = https://bitbucket.org/glotzer/gsd; + description = "General simulation data file format"; + license = licenses.bsd2; + maintainers = [ maintainers.costrouc ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/gsd/default.nix b/nixpkgs/pkgs/development/python-modules/gsd/default.nix index 567cc6f8930..8eefb1f4318 100644 --- a/nixpkgs/pkgs/development/python-modules/gsd/default.nix +++ b/nixpkgs/pkgs/development/python-modules/gsd/default.nix @@ -1,26 +1,30 @@ -{ stdenv -, buildPythonPackage -, fetchPypi +{ lib, buildPythonPackage, fetchFromGitHub, isPy27 , numpy +, pytest }: buildPythonPackage rec { - version = "1.7.0"; + version = "1.9.3"; pname = "gsd"; + disabled = isPy27; - src = fetchPypi { - inherit pname version; - sha256 = "0fpk69wachyydpk9cbs901m7hkwrrvq24ykxsrz62km9ql8lr2vp"; + src = fetchFromGitHub { + owner = "glotzerlab"; + repo = pname; + rev = "v${version}"; + sha256 = "07hw29r2inyp493dia4fx3ysfr1wxi2jb3n9cmwdi0l54s2ahqvf"; }; propagatedBuildInputs = [ numpy ]; - # tests not packaged with gsd - doCheck = false; + checkInputs = [ pytest ]; + checkPhase = '' + pytest + ''; - meta = with stdenv.lib; { - homepage = https://bitbucket.org/glotzer/gsd; + meta = with lib; { description = "General simulation data file format"; + homepage = "https://github.com/glotzerlab/gsd"; license = licenses.bsd2; maintainers = [ maintainers.costrouc ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/hcloud/default.nix b/nixpkgs/pkgs/development/python-modules/hcloud/default.nix index 8d8e2c50689..fdc6f4e59cc 100644 --- a/nixpkgs/pkgs/development/python-modules/hcloud/default.nix +++ b/nixpkgs/pkgs/development/python-modules/hcloud/default.nix @@ -12,11 +12,11 @@ buildPythonPackage rec { pname = "hcloud"; - version = "1.6.1"; + version = "1.6.2"; src = fetchPypi { inherit pname version; - sha256 = "1skqq36k1dm7mngfg9c93pb1rdvmbapc0cv8zj00hnm63r67hmrj"; + sha256 = "1x18yqxa6a884j0ip92kgl5s8c77z6f4pvnq6q4lzisyffkyq6c7"; }; propagatedBuildInputs = [ future requests python-dateutil ]; diff --git a/nixpkgs/pkgs/development/python-modules/hiredis/default.nix b/nixpkgs/pkgs/development/python-modules/hiredis/default.nix new file mode 100644 index 00000000000..4403071cb8f --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/hiredis/default.nix @@ -0,0 +1,29 @@ +{ stdenv +, buildPythonPackage +, fetchPypi +, redis +, python +}: + +buildPythonPackage rec { + pname = "hiredis"; + version = "1.0.0"; + + src = fetchPypi { + inherit pname version; + sha256 = "158pymdlnv4d218w66i8kzdn4ka30l1pdwa0wyjh16bj10zraz79"; + }; + propagatedBuildInputs = [ redis ]; + + checkPhase = '' + ${python.interpreter} test.py + ''; + + meta = with stdenv.lib; { + description = "Wraps protocol parsing code in hiredis, speeds up parsing of multi bulk replies"; + homepage = "https://github.com/redis/hiredis-py"; + license = licenses.bsd3; + maintainers = with maintainers; [ mmai ]; + }; +} + diff --git a/nixpkgs/pkgs/development/python-modules/ijson/default.nix b/nixpkgs/pkgs/development/python-modules/ijson/default.nix index eb10edeaca9..8110a646bc4 100644 --- a/nixpkgs/pkgs/development/python-modules/ijson/default.nix +++ b/nixpkgs/pkgs/development/python-modules/ijson/default.nix @@ -2,11 +2,11 @@ buildPythonPackage rec { pname = "ijson"; - version = "2.4"; + version = "2.5"; src = fetchPypi { inherit pname version; - sha256 = "135rwh7izzmj4lwkrfb9xw4ik0gcwjz34ygnmx3vyvki2xbbp2xp"; + sha256 = "08ppzz4my7dbs5bsdv3r1yn8bx8ijqmk5hjfdblrzrxhj184v4bs"; }; doCheck = false; # something about yajl diff --git a/nixpkgs/pkgs/development/python-modules/managesieve/default.nix b/nixpkgs/pkgs/development/python-modules/managesieve/default.nix new file mode 100644 index 00000000000..e23c3621c2e --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/managesieve/default.nix @@ -0,0 +1,26 @@ +{ lib +, buildPythonPackage +, fetchPypi +, pytestrunner +, pytest +}: + +buildPythonPackage rec { + pname = "managesieve"; + version = "0.6"; + + src = fetchPypi { + inherit pname version; + sha256 = "ee70e298e9b68eb81f93d52a1320a034fdc182f3927fdd551836fc93b0ed2c5f"; + }; + + checkInputs = [ pytestrunner pytest ]; + + meta = with lib; { + description = "ManageSieve client library for remotely managing Sieve scripts"; + homepage = "https://managesieve.readthedocs.io/"; + # PSFL for the python module, GPLv3 for sieveshell + license = with licenses; [ gpl3 psfl ]; + maintainers = with maintainers; [ dadada ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/matplotlib/default.nix b/nixpkgs/pkgs/development/python-modules/matplotlib/default.nix index bb7e75449c8..ab03149e72d 100644 --- a/nixpkgs/pkgs/development/python-modules/matplotlib/default.nix +++ b/nixpkgs/pkgs/development/python-modules/matplotlib/default.nix @@ -6,7 +6,7 @@ , enableGtk3 ? false, cairo # darwin has its own "MacOSX" backend , enableTk ? !stdenv.isDarwin, tcl ? null, tk ? null, tkinter ? null, libX11 ? null -, enableQt ? false, pyqt4 +, enableQt ? false, pyqt5 ? null , libcxx , Cocoa , pythonOlder @@ -19,7 +19,7 @@ assert enableTk -> (tcl != null) && (tkinter != null) && (libX11 != null) ; -assert enableQt -> pyqt4 != null; +assert enableQt -> pyqt5 != null; buildPythonPackage rec { version = "3.1.1"; @@ -49,7 +49,7 @@ buildPythonPackage rec { ++ stdenv.lib.optional enableGtk2 pygtk ++ stdenv.lib.optionals enableGtk3 [ cairo pycairo gtk3 gobject-introspection pygobject3 ] ++ stdenv.lib.optionals enableTk [ tcl tk tkinter libX11 ] - ++ stdenv.lib.optionals enableQt [ pyqt4 ]; + ++ stdenv.lib.optionals enableQt [ pyqt5 ]; patches = [ ./basedirlist.patch ]; diff --git a/nixpkgs/pkgs/development/python-modules/maxminddb/default.nix b/nixpkgs/pkgs/development/python-modules/maxminddb/default.nix index c24823d1812..645ecddd163 100644 --- a/nixpkgs/pkgs/development/python-modules/maxminddb/default.nix +++ b/nixpkgs/pkgs/development/python-modules/maxminddb/default.nix @@ -5,12 +5,12 @@ }: buildPythonPackage rec { - version = "1.4.1"; + version = "1.5.1"; pname = "maxminddb"; src = fetchPypi { inherit pname version; - sha256 = "04mpilsj76m29id5xfi8mmasdmh27ldn7r0dmh2rj6a8v2y5256z"; + sha256 = "0y9giw81k4wdmpryr4k42w50z292mf364a6vs1vxf83ksc9ig6j4"; }; propagatedBuildInputs = [ ipaddress ]; @@ -20,7 +20,7 @@ buildPythonPackage rec { meta = with lib; { description = "Reader for the MaxMind DB format"; homepage = "https://www.maxmind.com/en/home"; - license = licenses.apsl20; + license = licenses.asl20; maintainers = with maintainers; [ ]; }; } diff --git a/nixpkgs/pkgs/development/python-modules/nmigen-boards/default.nix b/nixpkgs/pkgs/development/python-modules/nmigen-boards/default.nix index 7f4654eab79..6e51ae07731 100644 --- a/nixpkgs/pkgs/development/python-modules/nmigen-boards/default.nix +++ b/nixpkgs/pkgs/development/python-modules/nmigen-boards/default.nix @@ -8,15 +8,15 @@ buildPythonPackage rec { pname = "nmigen-boards"; - version = "unstable-2019-09-23"; + version = "unstable-2019-10-13"; # python setup.py --version - realVersion = "0.1.dev55+g${lib.substring 0 7 src.rev}"; + realVersion = "0.1.dev79+g${lib.substring 0 7 src.rev}"; src = fetchFromGitHub { owner = "m-labs"; repo = "nmigen-boards"; - rev = "b8b2bbaff34c905f2b1094a74b6865961feb2290"; - sha256 = "00gsdm7qf6gsxqmkgqz1ing1yc0352s14pvw863rdbjbd1bv5r0m"; + rev = "835c175d7cf9d143aea2c7dbc0c870ede655cfc2"; + sha256 = "1mbxgfv6k9i3668lr1b3hrvial2vznvgn4ckjzc36hhizp47ypzw"; }; nativeBuildInputs = [ setuptools_scm ]; diff --git a/nixpkgs/pkgs/development/python-modules/nmigen/default.nix b/nixpkgs/pkgs/development/python-modules/nmigen/default.nix index 02569e0a81b..8269c07cba0 100644 --- a/nixpkgs/pkgs/development/python-modules/nmigen/default.nix +++ b/nixpkgs/pkgs/development/python-modules/nmigen/default.nix @@ -8,28 +8,23 @@ , bitarray , jinja2 -# nmigen.{test,build} call out to these +# for tests , yosys , symbiyosys -, nextpnr ? null -, icestorm ? null -, trellis ? null - -# for tests , yices }: buildPythonPackage rec { pname = "nmigen"; - version = "unstable-2019-09-28"; + version = "unstable-2019-10-17"; # python setup.py --version - realVersion = "0.1.dev689+g${lib.substring 0 7 src.rev}"; + realVersion = "0.1.rc2.dev5+g${lib.substring 0 7 src.rev}"; src = fetchFromGitHub { owner = "m-labs"; repo = "nmigen"; - rev = "a02e3750bfeba44bcaad4c5de8d9eb0ef055d9c6"; - sha256 = "0m399c2nm7y54q2f0fbkmi4h35csbc2llckm6k9kqdf5qc6355wd"; + rev = "9fba5ccb513cfbd53f884b1efca699352d2471b9"; + sha256 = "02bjry4sqjsrhl0s42zl1zl06gk5na9i6br6vmz7fvxic29vl83v"; }; disabled = pythonOlder "3.6"; @@ -38,25 +33,7 @@ buildPythonPackage rec { propagatedBuildInputs = [ setuptools pyvcd bitarray jinja2 ]; - checkInputs = [ yosys yices ]; - - postPatch = let - tool = pkg: name: - if pkg == null then {} else { ${name} = "${pkg}/bin/${name}"; }; - - # Only FOSS toolchain supported out of the box, sorry! - toolchainOverrides = - tool yosys "yosys" // - tool symbiyosys "sby" // - tool nextpnr "nextpnr-ice40" // - tool nextpnr "nextpnr-ecp5" // - tool icestorm "icepack" // - tool trellis "ecppack"; - in '' - substituteInPlace nmigen/_toolchain.py \ - --replace 'overrides = {}' \ - 'overrides = ${builtins.toJSON toolchainOverrides}' - ''; + checkInputs = [ yosys symbiyosys yices ]; preBuild = '' export SETUPTOOLS_SCM_PRETEND_VERSION="${realVersion}" diff --git a/nixpkgs/pkgs/development/python-modules/pathspec/default.nix b/nixpkgs/pkgs/development/python-modules/pathspec/default.nix index 8fb6259c574..caef384d279 100644 --- a/nixpkgs/pkgs/development/python-modules/pathspec/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pathspec/default.nix @@ -5,11 +5,11 @@ buildPythonPackage rec { pname = "pathspec"; - version = "0.5.9"; + version = "0.6.0"; src = fetchPypi { inherit pname version; - sha256 = "54a5eab895d89f342b52ba2bffe70930ef9f8d96e398cccf530d21fa0516a873"; + sha256 = "0p7ab7jx3wgg7xdj2q8yk99cz3xv2a5p1r8q9kfylnvqn34cr1g2"; }; meta = { diff --git a/nixpkgs/pkgs/development/python-modules/persisting-theory/default.nix b/nixpkgs/pkgs/development/python-modules/persisting-theory/default.nix new file mode 100644 index 00000000000..3e729c2149e --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/persisting-theory/default.nix @@ -0,0 +1,24 @@ +{ stdenv, buildPythonPackage, fetchPypi +, nose +}: + +buildPythonPackage rec { + pname = "persisting-theory"; + version = "0.2.1"; + + src = fetchPypi { + inherit pname version; + sha256 = "02hcg7js23yjyw6gwxqzvyv2b1wfjrypk98cfxfgf7s8iz67vzq0"; + }; + + checkInputs = [ nose ]; + + checkPhase = "nosetests"; + + meta = with stdenv.lib; { + homepage = https://code.eliotberriot.com/eliotberriot/persisting-theory; + description = "Automate data discovering and access inside a list of packages"; + license = licenses.bsd3; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/pgsanity/default.nix b/nixpkgs/pkgs/development/python-modules/pgsanity/default.nix index c6fa96bccf7..f7212493e59 100644 --- a/nixpkgs/pkgs/development/python-modules/pgsanity/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pgsanity/default.nix @@ -17,6 +17,7 @@ buildPythonPackage rec { ${python.interpreter} -m unittest discover -s test ''; + checkInputs = [ postgresql ]; propagatedBuildInputs = [ postgresql ]; meta = with stdenv.lib; { @@ -24,13 +25,12 @@ buildPythonPackage rec { description = "Checks the syntax of Postgresql SQL files"; longDescription = '' PgSanity checks the syntax of Postgresql SQL files by - taking a file that has a list of bare SQL in it, - making that file look like a C file with embedded SQL, - run it through ecpg and + taking a file that has a list of bare SQL in it, + making that file look like a C file with embedded SQL, + run it through ecpg and let ecpg report on the syntax errors of the SQL. ''; license = stdenv.lib.licenses.mit; maintainers = with maintainers; [ nalbyuites ]; - broken = true; }; } diff --git a/nixpkgs/pkgs/development/python-modules/pyannotate/default.nix b/nixpkgs/pkgs/development/python-modules/pyannotate/default.nix index db48bb06bc0..a09463a76d3 100644 --- a/nixpkgs/pkgs/development/python-modules/pyannotate/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pyannotate/default.nix @@ -9,12 +9,12 @@ }: buildPythonPackage rec { - version = "1.0.7"; + version = "1.2.0"; pname = "pyannotate"; src = fetchPypi { inherit pname version; - sha256 = "54e6035a8601248992e17734034e6555842c6ea9863f90c15d14fe76a184be07"; + sha256 = "16bm0mf7wxvy0lgmcs1p8n1ji8pnvj1jvj8zk3am70dkp825iv84"; }; checkInputs = [ pytest ]; diff --git a/nixpkgs/pkgs/development/python-modules/pyhaversion/default.nix b/nixpkgs/pkgs/development/python-modules/pyhaversion/default.nix new file mode 100644 index 00000000000..73de6ba0839 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/pyhaversion/default.nix @@ -0,0 +1,43 @@ +{ lib +, buildPythonPackage +, fetchPypi +# propagatedBuildInputs +, aiohttp +, async-timeout +# buildInputs +, pytestrunner +# checkInputs +, pytest +, pytest-asyncio +, aresponses +}: +buildPythonPackage rec { + pname = "pyhaversion"; + version = "3.1.0"; + + src = fetchPypi { + inherit pname version; + sha256 = "1d4smpzlaw0sqfgkgvhxsn8h7bmwj8h9gj98sdzvkzhp5vhd96b2"; + }; + + propagatedBuildInputs = [ + aiohttp + async-timeout + ]; + + buildInputs = [ + pytestrunner + ]; + + checkInputs = [ + pytest + pytest-asyncio + aresponses + ]; + + meta = with lib; { + description = "A python module to the newest version number of Home Assistant"; + homepage = https://github.com/ludeeus/pyhaversion; + maintainers = [ maintainers.makefu ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/pymemoize/default.nix b/nixpkgs/pkgs/development/python-modules/pymemoize/default.nix new file mode 100644 index 00000000000..4efafeaaca4 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/pymemoize/default.nix @@ -0,0 +1,29 @@ +{ stdenv +, buildPythonPackage +, fetchPypi +, django +}: + +buildPythonPackage rec { + pname = "pymemoize"; + version = "1.0.3"; + + src = fetchPypi { + inherit version; + pname = "PyMemoize"; + sha256 = "0yqr60hm700zph6nv8wb6yp2s0i08mahxvw98bvkmw5ijbsviiq7"; + }; + + checkInputs = [ django ]; + + # django.core.exceptions.ImproperlyConfigured: Requested settings, but settings are not configured. You must either define the environment variable DJANGO_SETTINGS_MODULE or call settings.configure() before accessing settings + doCheck = false; + + meta = with stdenv.lib; { + description = "Simple Python cache and memoizing module"; + homepage = "https://github.com/mikeboers/PyMemoize"; + license = licenses.bsd3; + maintainers = with maintainers; [ mmai ]; + }; +} + diff --git a/nixpkgs/pkgs/development/python-modules/pytmx/default.nix b/nixpkgs/pkgs/development/python-modules/pytmx/default.nix new file mode 100644 index 00000000000..0745aacc378 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/pytmx/default.nix @@ -0,0 +1,33 @@ +{ lib, fetchFromGitHub, isPy3k, buildPythonPackage, pygame, pyglet, pysdl2, six }: + +buildPythonPackage rec { + pname = "pytmx"; + version = "3.21.7"; + + src = fetchFromGitHub { + # The release was not git tagged. + owner = "bitcraft"; + repo = "PyTMX"; + rev = "38519b94ab9a2db7cacb8e18de4d83750ec6fac2"; + sha256 = "0p2gc6lgian1yk4qvhbkxfkmndf9ras70amigqzzwr02y2jvq7j8"; + }; + + propagatedBuildInputs = [ pygame pyglet pysdl2 six ]; + + # The tests are failing for Python 2.7. + doCheck = isPy3k; + checkPhase = '' + # The following test imports an example file from the current working + # directory. Thus, we're cd'ing into the test directory. + + cd tests/ + python -m unittest test_pytmx + ''; + + meta = with lib; { + homepage = "https://github.com/bitcraft/PyTMX"; + description = "Python library to read Tiled Map Editor's TMX maps"; + license = licenses.lgpl3; + maintainers = with maintainers; [ geistesk ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/requests-http-signature/default.nix b/nixpkgs/pkgs/development/python-modules/requests-http-signature/default.nix new file mode 100644 index 00000000000..992c54930ca --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/requests-http-signature/default.nix @@ -0,0 +1,32 @@ +{ stdenv +, buildPythonPackage +, fetchFromGitHub +, requests +, python +}: + +buildPythonPackage rec { + pname = "requests-http-signature"; + version = "0.1.0"; + + # .pem files for tests aren't present on PyPI + src = fetchFromGitHub { + owner = "pyauth"; + repo = pname; + rev = "v${version}"; + sha256 = "0y96wsbci296m1rcxx0ybx8r44rdvyb59p1jl27p7rgz7isr3kx1"; + }; + + propagatedBuildInputs = [ requests ]; + + checkPhase = '' + ${python.interpreter} test/test.py + ''; + + meta = with stdenv.lib; { + description = "A Requests auth module for HTTP Signature"; + homepage = "https://github.com/kislyuk/requests-http-signature"; + license = licenses.asl20; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/s3transfer/default.nix b/nixpkgs/pkgs/development/python-modules/s3transfer/default.nix index 7589d0fce73..0c227bc6377 100644 --- a/nixpkgs/pkgs/development/python-modules/s3transfer/default.nix +++ b/nixpkgs/pkgs/development/python-modules/s3transfer/default.nix @@ -21,7 +21,7 @@ buildPythonPackage rec { sha256 = "f23d5cb7d862b104401d9021fc82e5fa0e0cf57b7660a1331425aab0c691d021"; }; - foo = 1; + outputs = [ "out" "dev" ]; propagatedBuildInputs = [ botocore diff --git a/nixpkgs/pkgs/development/python-modules/sqlalchemy/default.nix b/nixpkgs/pkgs/development/python-modules/sqlalchemy/default.nix index beb19b64f5f..3d76f83c1ee 100644 --- a/nixpkgs/pkgs/development/python-modules/sqlalchemy/default.nix +++ b/nixpkgs/pkgs/development/python-modules/sqlalchemy/default.nix @@ -1,4 +1,4 @@ -{ lib, fetchPypi, buildPythonPackage, isPy3k +{ lib, fetchPypi, buildPythonPackage, isPy3k, isPy35 , mock , pysqlite , pytest @@ -22,7 +22,9 @@ buildPythonPackage rec { sed -e 's:--max-worker-restart=5::g' -i setup.cfg ''; - checkPhase = '' + checkPhase = if isPy35 then '' + pytest test -k 'not exception_persistent_flush_py3k' + '' else '' pytest test ''; diff --git a/nixpkgs/pkgs/development/python-modules/tables/default.nix b/nixpkgs/pkgs/development/python-modules/tables/default.nix index 7b1b4f7c36f..f55d2285d03 100644 --- a/nixpkgs/pkgs/development/python-modules/tables/default.nix +++ b/nixpkgs/pkgs/development/python-modules/tables/default.nix @@ -1,6 +1,8 @@ { stdenv, fetchPypi, python, buildPythonPackage , cython, bzip2, lzo, numpy, numexpr, hdf5, six, c-blosc, mock }: +with stdenv.lib; + buildPythonPackage rec { version = "3.5.2"; pname = "tables"; @@ -15,12 +17,12 @@ buildPythonPackage rec { # The setup script complains about missing run-paths, but they are # actually set. - setupPyBuildFlags = - [ "--hdf5=${hdf5}" - "--lzo=${lzo}" - "--bzip2=${bzip2.dev}" - "--blosc=${c-blosc}" - ]; + setupPyBuildFlags = [ + "--hdf5=${getDev hdf5}" + "--lzo=${getDev lzo}" + "--bzip2=${getDev bzip2}" + "--blosc=${getDev c-blosc}" + ]; # Run the test suite. # It requires the build path to be in the python search path. diff --git a/nixpkgs/pkgs/development/python-modules/tasklib/default.nix b/nixpkgs/pkgs/development/python-modules/tasklib/default.nix new file mode 100644 index 00000000000..73b92ea6d4b --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/tasklib/default.nix @@ -0,0 +1,36 @@ +{ lib, pythonPackages, taskwarrior, writeShellScriptBin }: + +with pythonPackages; + +let + +wsl_stub = writeShellScriptBin "wsl" "true"; + +in buildPythonPackage rec { + pname = "tasklib"; + version = "1.2.1"; + + src = fetchPypi { + inherit pname version; + sha256 = "3964fb7e87f86dc5e2708addb67e69d0932534991991b6bae2e37a0c2059273f"; + }; + + propagatedBuildInputs = [ + six + pytz + tzlocal + ]; + + checkInputs = [ + taskwarrior + wsl_stub + ]; + + meta = with lib; { + homepage = https://github.com/robgolding/tasklib; + description = "A library for interacting with taskwarrior databases"; + maintainers = with maintainers; [ arcnmx ]; + platforms = platforms.all; + license = licenses.bsd3; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/tensorflow/bin.nix b/nixpkgs/pkgs/development/python-modules/tensorflow/bin.nix index db2308a0be8..38fec9adc7d 100644 --- a/nixpkgs/pkgs/development/python-modules/tensorflow/bin.nix +++ b/nixpkgs/pkgs/development/python-modules/tensorflow/bin.nix @@ -2,7 +2,7 @@ , lib , fetchurl , buildPythonPackage -, isPy3k, pythonOlder +, isPy3k, pythonOlder, isPy38 , astor , gast , google-pasta @@ -51,6 +51,8 @@ in buildPythonPackage { inherit (packages) version; format = "wheel"; + disabled = isPy38; + src = let pyVerNoDot = lib.strings.stringAsChars (x: if x == "." then "" else x) python.pythonVersion; pyver = if stdenv.isDarwin then builtins.substring 0 1 pyVerNoDot else pyVerNoDot; diff --git a/nixpkgs/pkgs/development/python-modules/trezor_agent/default.nix b/nixpkgs/pkgs/development/python-modules/trezor_agent/default.nix index 17ecd369136..ea941f0e7ca 100644 --- a/nixpkgs/pkgs/development/python-modules/trezor_agent/default.nix +++ b/nixpkgs/pkgs/development/python-modules/trezor_agent/default.nix @@ -8,6 +8,7 @@ , mnemonic , keepkey , semver +, setuptools , wheel , pinentry }: @@ -21,7 +22,7 @@ buildPythonPackage rec{ sha256 = "e82bf000c1178b1a7612f2a90487eb34c6234d2edb15dc8e310ad875d8298690"; }; - propagatedBuildInputs = [ trezor libagent ecdsa ed25519 mnemonic keepkey semver wheel pinentry ]; + propagatedBuildInputs = [ setuptools trezor libagent ecdsa ed25519 mnemonic keepkey semver wheel pinentry ]; meta = with stdenv.lib; { description = "Using Trezor as hardware SSH agent"; diff --git a/nixpkgs/pkgs/development/python-modules/unicode-slugify/default.nix b/nixpkgs/pkgs/development/python-modules/unicode-slugify/default.nix new file mode 100644 index 00000000000..111ff6278ea --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/unicode-slugify/default.nix @@ -0,0 +1,29 @@ +{ stdenv +, buildPythonPackage +, fetchPypi +, nose +, six +, unittest2 +, unidecode +}: + +buildPythonPackage rec { + pname = "unicode-slugify"; + version = "0.1.3"; + + src = fetchPypi { + inherit pname version; + sha256 = "0l7nphfdq9rgiczbl8n3mra9gx7pxap0xz540pkyz034zbz3mkrl"; + }; + + propagatedBuildInputs = [ six unidecode ]; + + checkInputs = [ nose unittest2 ]; + + meta = with stdenv.lib; { + description = "Generates unicode slugs"; + homepage = "https://pypi.org/project/unicode-slugify/"; + license = licenses.bsd3; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/urllib3/default.nix b/nixpkgs/pkgs/development/python-modules/urllib3/default.nix index d951e436480..e50d90266bf 100644 --- a/nixpkgs/pkgs/development/python-modules/urllib3/default.nix +++ b/nixpkgs/pkgs/development/python-modules/urllib3/default.nix @@ -11,6 +11,8 @@ buildPythonPackage rec { sha256 = "2393a695cd12afedd0dcb26fe5d50d0cf248e5a66f75dbd89a3d4eb333a61af4"; }; + outputs = [ "out" "dev" ]; + NOSE_EXCLUDE = stdenv.lib.concatStringsSep "," [ "test_headers" "test_headerdict" "test_can_validate_ip_san" "test_delayed_body_read_timeout" "test_timeout_errors_cause_retries" "test_select_multiple_interrupts_with_event" diff --git a/nixpkgs/pkgs/development/python-modules/xmlschema/default.nix b/nixpkgs/pkgs/development/python-modules/xmlschema/default.nix index c021bde60cd..bd5f9eb40b8 100644 --- a/nixpkgs/pkgs/development/python-modules/xmlschema/default.nix +++ b/nixpkgs/pkgs/development/python-modules/xmlschema/default.nix @@ -4,14 +4,14 @@ }: buildPythonPackage rec { - version = "1.0.13"; + version = "1.0.15"; pname = "xmlschema"; src = fetchFromGitHub { owner = "sissaschool"; repo = "xmlschema"; rev = "v${version}"; - sha256 = "182439gqhlxhr9rdi9ak33z4ffy1w9syhykkckkl6mq050c80qdr"; + sha256 = "1s8ggvy2s7513cxcal3r37rn1bhpkxhq3hs5m9pgvmrysxjdz8lb"; }; propagatedBuildInputs = [ elementpath ]; @@ -25,6 +25,7 @@ buildPythonPackage rec { --replace "SKIP_REMOTE_TESTS = " "SKIP_REMOTE_TESTS = True #" pytest . \ --ignore=xmlschema/tests/test_factory.py \ + --ignore=xmlschema/tests/test_memory.py \ --ignore=xmlschema/tests/test_validators.py \ --ignore=xmlschema/tests/test_schemas.py \ -k 'not element_tree_import_script' diff --git a/nixpkgs/pkgs/development/tools/analysis/valgrind/default.nix b/nixpkgs/pkgs/development/tools/analysis/valgrind/default.nix index c362ae5734c..b5abff94c79 100644 --- a/nixpkgs/pkgs/development/tools/analysis/valgrind/default.nix +++ b/nixpkgs/pkgs/development/tools/analysis/valgrind/default.nix @@ -8,15 +8,13 @@ stdenv.mkDerivation rec { sha256 = "1ccawxrni8brcvwhygy12iprkvz409hbr9xkk1bd03gnm2fplz21"; }; - # Perl is needed for `cg_annotate'. - nativeBuildInputs = [ perl ]; - outputs = [ "out" "dev" "man" "doc" ]; hardeningDisable = [ "stackprotector" ]; # GDB is needed to provide a sane default for `--db-command'. - buildInputs = [ gdb ] ++ stdenv.lib.optionals (stdenv.isDarwin) [ bootstrap_cmds xnu ]; + # Perl is needed for `callgrind_{annotate,control}'. + buildInputs = [ gdb perl ] ++ stdenv.lib.optionals (stdenv.isDarwin) [ bootstrap_cmds xnu ]; enableParallelBuilding = true; separateDebugInfo = stdenv.isLinux; diff --git a/nixpkgs/pkgs/development/tools/bazelisk/default.nix b/nixpkgs/pkgs/development/tools/bazelisk/default.nix index 6c0532eac48..15303c2c87f 100644 --- a/nixpkgs/pkgs/development/tools/bazelisk/default.nix +++ b/nixpkgs/pkgs/development/tools/bazelisk/default.nix @@ -2,16 +2,16 @@ buildGoModule rec { pname = "bazelisk"; - version = "1.0"; + version = "1.1.0"; src = fetchFromGitHub { owner = "bazelbuild"; repo = pname; rev = "v${version}"; - sha256 = "0516rx3qx6nxavy0a1qxjx2rcvdfb2ggig0q4n7fkmrxbnwxh2c9"; + sha256 = "1pv113sk7hfxp4m2rcriqqp6mbbzcfdr0yrx4g1yiam93rx34yb7"; }; - modSha256 = "1f73j6ryidzi3kfy3rhsqx047vzwvzaqcsl7ykhg87rn2l2s7fdl"; + modSha256 = "0gs8y618izqi0gfa46jqh79yj8lzsmc6yj95fakhp2f5i8v1xrmx"; meta = with stdenv.lib; { description = "A user-friendly launcher for Bazel"; diff --git a/nixpkgs/pkgs/development/tools/build-managers/bazel/0.4.nix b/nixpkgs/pkgs/development/tools/build-managers/bazel/0.4.nix deleted file mode 100644 index 334d0962253..00000000000 --- a/nixpkgs/pkgs/development/tools/build-managers/bazel/0.4.nix +++ /dev/null @@ -1,100 +0,0 @@ -{ stdenv, lib, fetchurl, jdk, zip, unzip, bash, makeWrapper, which, coreutils -# Always assume all markers valid (don't redownload dependencies). -# Also, don't clean up environment variables. -, enableNixHacks ? false -}: - -stdenv.mkDerivation rec { - - version = "0.4.5"; - - meta = with stdenv.lib; { - homepage = https://github.com/bazelbuild/bazel/; - description = "Build tool that builds code quickly and reliably"; - license = licenses.asl20; - maintainers = with maintainers; [ cstrahan philandstuff ]; - platforms = platforms.linux; - broken = true; # 2018-08-07 - }; - - pname = "bazel"; - - src = fetchurl { - url = "https://github.com/bazelbuild/bazel/releases/download/${version}/bazel-${version}-dist.zip"; - sha256 = "0asmq3kxnl4326zhgh13mvcrc8jvmiswjj4ymrq0943q4vj7nwrb"; - }; - - preUnpack = '' - mkdir bazel - cd bazel - ''; - sourceRoot = "."; - - patches = lib.optional enableNixHacks ./nix-hacks-0.4.patch; - - postPatch = '' - for f in $(grep -l -r '/bin/bash'); do - substituteInPlace "$f" --replace '/bin/bash' '${bash}/bin/bash' - done - for f in $(grep -l -r '/usr/bin/env'); do - substituteInPlace "$f" --replace '/usr/bin/env' '${coreutils}/bin/env' - done - '' + lib.optionalString stdenv.isDarwin '' - sed -i 's,/usr/bin/xcrun clang,clang,g' \ - scripts/bootstrap/compile.sh \ - src/tools/xcode/realpath/BUILD \ - src/tools/xcode/stdredirect/BUILD \ - src/tools/xcode/xcrunwrapper/xcrunwrapper.sh - sed -i 's,/usr/bin/xcrun "''${TOOLNAME}","''${TOOLNAME}",g' \ - src/tools/xcode/xcrunwrapper/xcrunwrapper.sh - sed -i 's/"xcrun", "clang"/"clang"/g' tools/osx/xcode_configure.bzl - ''; - - buildInputs = [ - jdk - zip - unzip - makeWrapper - which - ]; - - # These must be propagated since the dependency is hidden in a compressed - # archive. - - propagatedBuildInputs = [ - bash - ]; - - buildPhase = '' - export TMPDIR=/tmp/.bazel-$UID - ./compile.sh - ./output/bazel --output_user_root=$TMPDIR/.bazel build //scripts:bash_completion \ - --spawn_strategy=standalone \ - --genrule_strategy=standalone - cp bazel-bin/scripts/bazel-complete.bash output/ - ''; - - # Build the CPP and Java examples to verify that Bazel works. - - doCheck = true; - checkPhase = '' - export TEST_TMPDIR=$(pwd) - ./output/bazel test --test_output=errors \ - examples/cpp:hello-success_test \ - examples/java-native/src/test/java/com/example/myproject:hello - ''; - - # Bazel expects gcc and java to be in the path. - - installPhase = '' - mkdir -p $out/bin - mv output/bazel $out/bin - wrapProgram "$out/bin/bazel" --prefix PATH : "${stdenv.cc}/bin:${jdk}/bin" - mkdir -p $out/share/bash-completion/completions $out/share/zsh/site-functions - mv output/bazel-complete.bash $out/share/bash-completion/completions/ - cp scripts/zsh_completion/_bazel $out/share/zsh/site-functions/ - ''; - - dontStrip = true; - dontPatchELF = true; -} diff --git a/nixpkgs/pkgs/development/tools/build-managers/bazel/nix-hacks-0.4.patch b/nixpkgs/pkgs/development/tools/build-managers/bazel/nix-hacks-0.4.patch deleted file mode 100644 index 563fe635e6b..00000000000 --- a/nixpkgs/pkgs/development/tools/build-managers/bazel/nix-hacks-0.4.patch +++ /dev/null @@ -1,51 +0,0 @@ -diff --git a/src/main/java/com/google/devtools/build/lib/rules/repository/RepositoryDelegatorFunction.java b/src/main/java/com/google/devtools/build/lib/rules/repository/RepositoryDelegatorFunction.java -index eafa09fb5..d2d5e40e8 100644 ---- a/src/main/java/com/google/devtools/build/lib/rules/repository/RepositoryDelegatorFunction.java -+++ b/src/main/java/com/google/devtools/build/lib/rules/repository/RepositoryDelegatorFunction.java -@@ -287,21 +287,8 @@ public final class RepositoryDelegatorFunction implements SkyFunction { - markerData.put(key, value); - } - } -- boolean result = false; -- if (markerRuleKey.equals(ruleKey)) { -- result = handler.verifyMarkerData(rule, markerData, env); -- if (env.valuesMissing()) { -- return null; -- } -- } - -- if (result) { -- return new Fingerprint().addString(content).digestAndReset(); -- } else { -- // So that we are in a consistent state if something happens while fetching the repository -- markerPath.delete(); -- return null; -- } -+ return new Fingerprint().addString(content).digestAndReset(); - - } catch (IOException e) { - throw new RepositoryFunctionException(e, Transience.TRANSIENT); -diff --git a/src/main/java/com/google/devtools/build/lib/shell/JavaSubprocessFactory.java b/src/main/java/com/google/devtools/build/lib/shell/JavaSubprocessFactory.java -index a7ebc8f7a..40f2049fa 100644 ---- a/src/main/java/com/google/devtools/build/lib/shell/JavaSubprocessFactory.java -+++ b/src/main/java/com/google/devtools/build/lib/shell/JavaSubprocessFactory.java -@@ -129,7 +129,6 @@ public class JavaSubprocessFactory implements SubprocessFactory { - ProcessBuilder builder = new ProcessBuilder(); - builder.command(params.getArgv()); - if (params.getEnv() != null) { -- builder.environment().clear(); - builder.environment().putAll(params.getEnv()); - } - -diff --git a/src/main/java/com/google/devtools/build/lib/worker/Worker.java b/src/main/java/com/google/devtools/build/lib/worker/Worker.java -index 0268d1b2b..637364657 100644 ---- a/src/main/java/com/google/devtools/build/lib/worker/Worker.java -+++ b/src/main/java/com/google/devtools/build/lib/worker/Worker.java -@@ -77,7 +77,6 @@ class Worker { - new ProcessBuilder(command) - .directory(workDir.getPathFile()) - .redirectError(Redirect.appendTo(logFile.getPathFile())); -- processBuilder.environment().clear(); - processBuilder.environment().putAll(workerKey.getEnv()); - - this.process = processBuilder.start(); diff --git a/nixpkgs/pkgs/development/tools/build-managers/rebar3/default.nix b/nixpkgs/pkgs/development/tools/build-managers/rebar3/default.nix index 341585744a6..feb0086a355 100644 --- a/nixpkgs/pkgs/development/tools/build-managers/rebar3/default.nix +++ b/nixpkgs/pkgs/development/tools/build-managers/rebar3/default.nix @@ -3,7 +3,7 @@ tree, hexRegistrySnapshot }: let - version = "3.11.1"; + version = "3.12.0"; bootstrapper = ./rebar3-nix-bootstrap; @@ -77,7 +77,7 @@ stdenv.mkDerivation rec { owner = "erlang"; repo = pname; rev = version; - sha256 = "124l1alf7wx4hqjpf63l0nx70q68lilmgh582c1d413fz5ip0byd"; + sha256 = "0936ix7lfwsamssap58b265zid7x2m97azrr2qpjcln3xysd16lg"; }; inherit bootstrapper; diff --git a/nixpkgs/pkgs/development/tools/build-managers/sbt/default.nix b/nixpkgs/pkgs/development/tools/build-managers/sbt/default.nix index 9c5543cff58..bb2f000f606 100644 --- a/nixpkgs/pkgs/development/tools/build-managers/sbt/default.nix +++ b/nixpkgs/pkgs/development/tools/build-managers/sbt/default.nix @@ -2,14 +2,14 @@ stdenv.mkDerivation rec { pname = "sbt"; - version = "1.3.2"; + version = "1.3.3"; src = fetchurl { urls = [ "https://piccolo.link/sbt-${version}.tgz" "https://github.com/sbt/sbt/releases/download/v${version}/sbt-${version}.tgz" ]; - sha256 = "0vrj9wlw5kf6w7nzhf58dyik0n7rnc9aivjpsz85m299j4wyz37d"; + sha256 = "0v27i8pd9ss53ipi1cs40426mnyppdjgcdjk8nn05bi6rm7a4r7y"; }; patchPhase = '' diff --git a/nixpkgs/pkgs/development/tools/chit/default.nix b/nixpkgs/pkgs/development/tools/chit/default.nix index b312b888e90..e939ddb1334 100644 --- a/nixpkgs/pkgs/development/tools/chit/default.nix +++ b/nixpkgs/pkgs/development/tools/chit/default.nix @@ -6,16 +6,16 @@ with rustPlatform; buildRustPackage rec { pname = "chit"; - version = "0.1.14"; + version = "0.1.15"; src = fetchFromGitHub { owner = "peterheesterman"; repo = pname; rev = version; - sha256 = "1rzy15xwlf87c8kpy9pwvir6s9z3qc8d9iz4pk0gfdj2il3vmjwv"; + sha256 = "0iixczy3cad44j2d7zzj8f3lnmp4jwnb0snmwfgiq3vj9wrn28pz"; }; - cargoSha256 = "1jqnnf4jgjpm1i310hda15423nxfw9frgpmc2kbrs66qcsj7avaw"; + cargoSha256 = "0k6z69a09ps55w2rdgnf92yscw6rlbcpb4q9yf3rsav15pgpqvw8"; nativeBuildInputs = stdenv.lib.optionals stdenv.isLinux [ pkgconfig ]; buildInputs = [] diff --git a/nixpkgs/pkgs/development/tools/documentation/mdsh/default.nix b/nixpkgs/pkgs/development/tools/documentation/mdsh/default.nix index 3cc64602508..2ca2ef29dd2 100644 --- a/nixpkgs/pkgs/development/tools/documentation/mdsh/default.nix +++ b/nixpkgs/pkgs/development/tools/documentation/mdsh/default.nix @@ -2,16 +2,16 @@ rustPlatform.buildRustPackage rec { pname = "mdsh"; - version = "0.2.0"; + version = "0.3.0"; src = fetchFromGitHub { owner = "zimbatm"; repo = "mdsh"; rev = "v${version}"; - sha256 = "1751lll93cimyj7bxxdwdxn5w0zb2mzjpbnk1c93jfsvzlz1wzbl"; + sha256 = "1a9i6h8fzrrfzjyfxaps73lxgkz92k0bnmwbjbwdmiwci4qgi9ms"; }; - cargoSha256 = "0b8rg4pz4mpm60iwwmfw4l1p1g9sh1fwf693aqxi8g4vrjf0zniv"; + cargoSha256 = "0rarpzfigyxr6s0ba13z00kvnms29qkjfbfjkay72mb6xn7f1059"; verifyCargoDeps = true; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/tools/flamegraph/default.nix b/nixpkgs/pkgs/development/tools/flamegraph/default.nix index a351c325ea2..23ada99eac0 100644 --- a/nixpkgs/pkgs/development/tools/flamegraph/default.nix +++ b/nixpkgs/pkgs/development/tools/flamegraph/default.nix @@ -1,27 +1,32 @@ { stdenv, fetchFromGitHub, perl }: -stdenv.mkDerivation { - name = "FlameGraph-2017-07-01"; +stdenv.mkDerivation rec { + pname = "FlameGraph"; + version = "2019-02-16"; src = fetchFromGitHub { owner = "brendangregg"; - repo = "FlameGraph"; - rev = "a93d905911c07c96a73b35ddbcb5ddb2f39da4b6"; - sha256 = "07z2ffnab41dz833wwgr875vjccqyh0238357g7ml1yg5445x2jy"; + repo = pname; + rev = "1b1c6deede9c33c5134c920bdb7a44cc5528e9a7"; + sha256 = "1flvkmv2gbb003d51myl7r0wyhyw1bk9p7v19xagb8xjj4ci947b"; }; buildInputs = [ perl ]; installPhase = '' + runHook preInstall + mkdir -p $out/bin for x in $src/*.pl $src/*.awk $src/dev/*.pl $src/dev/*.d; do cp $x $out/bin done + + runHook postInstall ''; meta = with stdenv.lib; { - license = licenses.cddl; - homepage = http://www.brendangregg.com/flamegraphs.html; + license = with licenses; [ asl20 cddl gpl2Plus ]; + homepage = "http://www.brendangregg.com/flamegraphs.html"; description = "Visualization for profiled code"; platforms = platforms.unix; }; diff --git a/nixpkgs/pkgs/development/tools/git-series/default.nix b/nixpkgs/pkgs/development/tools/git-series/default.nix index 5faceca5716..d2bc539860b 100644 --- a/nixpkgs/pkgs/development/tools/git-series/default.nix +++ b/nixpkgs/pkgs/development/tools/git-series/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, fetchpatch, rustPlatform, openssl_1_0_2, cmake, perl, pkgconfig, zlib }: +{ stdenv, fetchFromGitHub, fetchpatch, rustPlatform, openssl, cmake, perl, pkgconfig, zlib, curl, libgit2 }: with rustPlatform; @@ -13,21 +13,23 @@ buildRustPackage rec { sha256 = "07mgq5h6r1gf3jflbv2khcz32bdazw7z1s8xcsafdarnm13ps014"; }; - cargoSha256 = "07b25pcndhwvpwa5khdh8y1fl44hdv6ff2pfj1mjc0wchbspqm6q"; - - cargoDepsHook = '' - ( - cd */ - # see https://github.com/git-series/git-series/pull/56 - patch -p1 < ${fetchpatch { - url = "https://github.com/Mic92/git-series/commit/3aa30a47d74ebf90b444dccdf8c153f07f119483.patch"; - sha256 = "06v8br9skvy75kcw2zgbswxyk82sqzc8smkbqpzmivxlc2i9rnh0"; - }} - ) - ''; - + cargoSha256 = "09y4fvv279cm5sgbpmskascb7rrwqgya5w5wkpz1bgx6pzw3mc7y"; + + cargoPatches = [ + (fetchpatch { + url = "https://github.com/Mic92/git-series/commit/3aa30a47d74ebf90b444dccdf8c153f07f119483.patch"; + sha256 = "06v8br9skvy75kcw2zgbswxyk82sqzc8smkbqpzmivxlc2i9rnh0"; + }) + # Update Cargo.lock to allow using OpenSSL 1.1 + (fetchpatch { + url = "https://github.com/edef1c/git-series/commit/11fe70ffcc18200e5f2a159c36aab070e8ff4228.patch"; + sha256 = "0clwllf9mrhq86dhzyyhkw1q2ggpgqpw7s05dvp3gj9zhfsyya4s"; + }) + ]; + + LIBGIT2_SYS_USE_PKG_CONFIG = true; nativeBuildInputs = [ cmake pkgconfig perl ]; - buildInputs = [ openssl_1_0_2 zlib ]; + buildInputs = [ openssl zlib curl libgit2 ]; postBuild = '' install -D "$src/git-series.1" "$out/man/man1/git-series.1" @@ -43,6 +45,6 @@ buildRustPackage rec { homepage = https://github.com/git-series/git-series; license = licenses.mit; - maintainers = [ maintainers.vmandela ]; + maintainers = with maintainers; [ edef vmandela ]; }; } diff --git a/nixpkgs/pkgs/development/tools/golangci-lint/default.nix b/nixpkgs/pkgs/development/tools/golangci-lint/default.nix index 6937ab48bb3..1ea73484886 100644 --- a/nixpkgs/pkgs/development/tools/golangci-lint/default.nix +++ b/nixpkgs/pkgs/development/tools/golangci-lint/default.nix @@ -2,7 +2,7 @@ buildGoPackage rec { pname = "golangci-lint"; - version = "1.20.0"; + version = "1.21.0"; goPackagePath = "github.com/golangci/golangci-lint"; subPackages = [ "cmd/golangci-lint" ]; @@ -11,7 +11,7 @@ buildGoPackage rec { owner = "golangci"; repo = "golangci-lint"; rev = "v${version}"; - sha256 = "1ca7l8smi1hx2sk6sq1cac9bvij4wnxxmwldbk8r1ih8ja5i6vdk"; + sha256 = "0knvb59mg9jrzmfs5nzglz4nv047ayq1xz6dkis74wl1g9xi6yr5"; }; meta = with lib; { diff --git a/nixpkgs/pkgs/development/tools/misc/arcanist/default.nix b/nixpkgs/pkgs/development/tools/misc/arcanist/default.nix index b3d380b0a0a..3df5794e387 100644 --- a/nixpkgs/pkgs/development/tools/misc/arcanist/default.nix +++ b/nixpkgs/pkgs/development/tools/misc/arcanist/default.nix @@ -4,19 +4,19 @@ let libphutil = fetchFromGitHub { owner = "phacility"; repo = "libphutil"; - rev = "3215e4e291ed4468faeed4542d47a571b5bc559a"; - sha256 = "0bbinaxny0j4iniz2grf0s9cysbl3x24yc32f3jra9mwsgh2v2zj"; + rev = "39ed96cd818aae761ec92613a9ba0800824d0ab0"; + sha256 = "1w55avn056kwa4gr25h09b7xhvyp397myrfzlmd1ggx7vj87vw1q"; }; arcanist = fetchFromGitHub { owner = "phacility"; repo = "arcanist"; - rev = "2650e8627a20e1bfe334a4a2b787f44ef5d6ebc5"; - sha256 = "0x0xxiar202ypbgxh19swzjil546bbp8li4k5yrpvab55y8ymkd4"; + rev = "3cdfe1fff806d2b54a2df631cf90193e518f42b7"; + sha256 = "1dngq8p4y4hln87hhgdm6hv68ld626j57lifw0821rvpnnmspw6j"; }; in stdenv.mkDerivation { pname = "arcanist"; - version = "20180916"; + version = "20190905"; src = [ arcanist libphutil ]; buildInputs = [ php makeWrapper flex ]; diff --git a/nixpkgs/pkgs/development/tools/misc/gdb/default.nix b/nixpkgs/pkgs/development/tools/misc/gdb/default.nix index f7dc2874aff..e990cb683e7 100644 --- a/nixpkgs/pkgs/development/tools/misc/gdb/default.nix +++ b/nixpkgs/pkgs/development/tools/misc/gdb/default.nix @@ -4,7 +4,7 @@ , fetchurl, pkgconfig, perl, texinfo, setupDebugInfoDirs, buildPackages # Run time -, ncurses, readline, gmp, mpfr, expat, zlib, dejagnu +, ncurses, readline, gmp, mpfr, expat, libipt, zlib, dejagnu , pythonSupport ? stdenv.hostPlatform == stdenv.buildPlatform && !stdenv.hostPlatform.isCygwin, python3 ? null , guile ? null @@ -13,7 +13,7 @@ let basename = "gdb-${version}"; - version = "8.3"; + version = "8.3.1"; in assert pythonSupport -> python3 != null; @@ -26,7 +26,7 @@ stdenv.mkDerivation rec { src = fetchurl { url = "mirror://gnu/gdb/${basename}.tar.xz"; - sha256 = "0bnpzz0rl672xg5547q5qck2sxi6cnyixmk8bbb4gifw17ipwbw0"; + sha256 = "1i2pjwaafrlz7wqm40b4znr77ai32rjsxkpl2az38yyarpbv8m8y"; }; postPatch = if stdenv.isDarwin then '' @@ -42,7 +42,7 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ pkgconfig texinfo perl setupDebugInfoDirs ]; - buildInputs = [ ncurses readline gmp mpfr expat zlib guile ] + buildInputs = [ ncurses readline gmp mpfr expat libipt zlib guile ] ++ stdenv.lib.optional pythonSupport python3 ++ stdenv.lib.optional doCheck dejagnu; diff --git a/nixpkgs/pkgs/development/tools/misc/gtkdialog/default.nix b/nixpkgs/pkgs/development/tools/misc/gtkdialog/default.nix index 02b4d155767..efb61c4730f 100644 --- a/nixpkgs/pkgs/development/tools/misc/gtkdialog/default.nix +++ b/nixpkgs/pkgs/development/tools/misc/gtkdialog/default.nix @@ -1,4 +1,4 @@ -{stdenv, fetchurl, gtk2, pkgconfig, hicolor-icon-theme }: +{stdenv, fetchurl, gtk2, pkgconfig }: stdenv.mkDerivation { name = "gtkdialog-0.8.3"; @@ -9,7 +9,7 @@ stdenv.mkDerivation { }; nativeBuildInputs = [ pkgconfig ]; - buildInputs = [ gtk2 hicolor-icon-theme ]; + buildInputs = [ gtk2 ]; meta = { homepage = https://code.google.com/archive/p/gtkdialog/; diff --git a/nixpkgs/pkgs/development/tools/misc/pwndbg/default.nix b/nixpkgs/pkgs/development/tools/misc/pwndbg/default.nix index 7182144fd20..9af43e4b747 100644 --- a/nixpkgs/pkgs/development/tools/misc/pwndbg/default.nix +++ b/nixpkgs/pkgs/development/tools/misc/pwndbg/default.nix @@ -1,33 +1,12 @@ { stdenv +, python3 , fetchFromGitHub , makeWrapper , gdb -, future -, isort -, psutil -, pycparser -, pyelftools -, python-ptrace -, ROPGadget -, six -, unicorn -, pygments -, }: +}: -stdenv.mkDerivation rec { - pname = "pwndbg"; - version = "2019.01.25"; - - src = fetchFromGitHub { - owner = "pwndbg"; - repo = "pwndbg"; - rev = version; - sha256 = "0k7n6pcrj62ccag801yzf04a9mj9znghpkbnqwrzz0qn3rs42vgs"; - }; - - nativeBuildInputs = [ makeWrapper ]; - - propagatedBuildInputs = [ +let + pythonPath = with python3.pkgs; makePythonPath [ future isort psutil @@ -40,16 +19,27 @@ stdenv.mkDerivation rec { pygments ]; +in stdenv.mkDerivation rec { + pname = "pwndbg"; + version = "2019.01.25"; + format = "other"; + + src = fetchFromGitHub { + owner = "pwndbg"; + repo = "pwndbg"; + rev = version; + sha256 = "0k7n6pcrj62ccag801yzf04a9mj9znghpkbnqwrzz0qn3rs42vgs"; + }; + + nativeBuildInputs = [ makeWrapper ]; + installPhase = '' mkdir -p $out/share/pwndbg cp -r *.py pwndbg $out/share/pwndbg + chmod +x $out/share/pwndbg/gdbinit.py makeWrapper ${gdb}/bin/gdb $out/bin/pwndbg \ - --add-flags "-q -x $out/share/pwndbg/gdbinit.py" - ''; - - preFixup = '' - sed -i "/import sys/a import sys; sys.path[0:0] = '$PYTHONPATH'.split(':')" \ - $out/share/pwndbg/gdbinit.py + --add-flags "-q -x $out/share/pwndbg/gdbinit.py" \ + --set NIX_PYTHONPATH ${pythonPath} ''; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/tools/misc/scc/default.nix b/nixpkgs/pkgs/development/tools/misc/scc/default.nix new file mode 100644 index 00000000000..2d5d94813ca --- /dev/null +++ b/nixpkgs/pkgs/development/tools/misc/scc/default.nix @@ -0,0 +1,26 @@ +{ stdenv, buildGoPackage, fetchFromGitHub }: + +buildGoPackage rec { + pname = "scc"; + version = "2.8.0"; + + src = fetchFromGitHub { + owner = "boyter"; + repo = "scc"; + rev = "v${version}"; + sha256 = "1g55aahr8j93jc1k2zgpnyxgp7ddn5137vjf8dafsmqp4m2qjq6g"; + }; + + goPackagePath = "github.com/boyter/scc"; + + # scc has a scripts/ sub-package that's for testing. + subPackages = [ "./" ]; + + meta = with stdenv.lib; { + homepage = https://github.com/boyter/scc; + description = "A very fast accurate code counter with complexity calculations and COCOMO estimates written in pure Go"; + maintainers = with maintainers; [ sigma ]; + license = with licenses; [ unlicense /* or */ mit ]; + platforms = platforms.unix; + }; +} diff --git a/nixpkgs/pkgs/development/tools/parsing/bison/3.x.nix b/nixpkgs/pkgs/development/tools/parsing/bison/3.x.nix index bbcecae8f04..5382ec421b7 100644 --- a/nixpkgs/pkgs/development/tools/parsing/bison/3.x.nix +++ b/nixpkgs/pkgs/development/tools/parsing/bison/3.x.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { pname = "bison"; - version = "3.4.1"; + version = "3.4.2"; src = fetchurl { url = "mirror://gnu/${pname}/${pname}-${version}.tar.gz"; - sha256 = "15ah05gharrzcxs8q5pm9mli5dp5lw19nd95apzzmyqnqa4zq1vh"; + sha256 = "1kzsb6fmmpq00l2s55hyb4dbsmz8f3a64ria6354wlbx6ypj4fgz"; }; nativeBuildInputs = [ m4 perl ] ++ stdenv.lib.optional stdenv.isSunOS help2man; diff --git a/nixpkgs/pkgs/development/tools/prototool/default.nix b/nixpkgs/pkgs/development/tools/prototool/default.nix index 27794448893..37b0f1fdf8d 100644 --- a/nixpkgs/pkgs/development/tools/prototool/default.nix +++ b/nixpkgs/pkgs/development/tools/prototool/default.nix @@ -2,18 +2,18 @@ buildGoModule rec { pname = "prototool"; - version = "1.8.0"; + version = "1.9.0"; src = fetchFromGitHub { owner = "uber"; repo = pname; rev = "v${version}"; - sha256 = "0m6g5p3jyf0ja5j9rqmw8mhipdqxk7rcxm0plmh65bxk1n22fzjc"; + sha256 = "1ssgvhcnqffhhdx8hnk4lmklip2f6g9i7ifblywfjylb08y7iqgd"; }; nativeBuildInputs = [ makeWrapper ]; - modSha256 = "0l4nqb1c1lqqk70l9qwib1azavxlwghi2fv5siwrr5zw4jysz5ml"; + modSha256 = "1gc8kc9mbi3mlh48zx4lcgpsrf8z879f1qj9wfyr66s7wd1ljazg"; postInstall = '' wrapProgram "$out/bin/prototool" \ diff --git a/nixpkgs/pkgs/development/tools/pypi2nix/default.nix b/nixpkgs/pkgs/development/tools/pypi2nix/default.nix index 6a709cf8f9b..7475abc6457 100644 --- a/nixpkgs/pkgs/development/tools/pypi2nix/default.nix +++ b/nixpkgs/pkgs/development/tools/pypi2nix/default.nix @@ -32,7 +32,7 @@ in stdenv.mkDerivation rec { requests ]; buildInputs = [ - pythonPackages.python pythonPackages.flake8 + pythonPackages.python pythonPackages.flake8 pythonPackages.setuptools zip makeWrapper nix.out nix-prefetch-git nix-prefetch-hg ]; diff --git a/nixpkgs/pkgs/development/tools/rust/cargo-make/Cargo.lock b/nixpkgs/pkgs/development/tools/rust/cargo-make/Cargo.lock new file mode 100644 index 00000000000..e4c01baff9f --- /dev/null +++ b/nixpkgs/pkgs/development/tools/rust/cargo-make/Cargo.lock @@ -0,0 +1,727 @@ +# This file is automatically @generated by Cargo. +# It is not intended for manual editing. +[[package]] +name = "aho-corasick" +version = "0.7.6" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "ansi_term" +version = "0.11.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "approx" +version = "0.1.1" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "arrayref" +version = "0.3.5" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "arrayvec" +version = "0.4.12" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "nodrop 0.1.14 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "atty" +version = "0.2.13" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", + "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "autocfg" +version = "0.1.6" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "backtrace" +version = "0.3.38" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "backtrace-sys 0.1.31 (registry+https://github.com/rust-lang/crates.io-index)", + "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", + "rustc-demangle 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "backtrace-sys" +version = "0.1.31" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "cc 1.0.45 (registry+https://github.com/rust-lang/crates.io-index)", + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "base64" +version = "0.10.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "bitflags" +version = "1.2.1" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "blake2b_simd" +version = "0.5.8" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "arrayref 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)", + "arrayvec 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)", + "constant_time_eq 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "byteorder" +version = "1.3.2" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "c2-chacha" +version = "0.2.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", + "ppv-lite86 0.2.5 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "cargo-make" +version = "0.23.0" +dependencies = [ + "ci_info 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)", + "clap 2.33.0 (registry+https://github.com/rust-lang/crates.io-index)", + "colored 1.8.0 (registry+https://github.com/rust-lang/crates.io-index)", + "dirs 2.0.2 (registry+https://github.com/rust-lang/crates.io-index)", + "envmnt 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", + "fern 0.5.8 (registry+https://github.com/rust-lang/crates.io-index)", + "glob 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)", + "indexmap 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)", + "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", + "rand 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)", + "run_script 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)", + "rust_info 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)", + "semver 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)", + "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", + "serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", + "shell2batch 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)", + "toml 0.5.3 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "cc" +version = "1.0.45" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "cfg-if" +version = "0.1.10" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "cgmath" +version = "0.16.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "approx 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", + "num-traits 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)", + "rand 0.4.6 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "ci_info" +version = "0.8.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "envmnt 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "clap" +version = "2.33.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "ansi_term 0.11.0 (registry+https://github.com/rust-lang/crates.io-index)", + "atty 0.2.13 (registry+https://github.com/rust-lang/crates.io-index)", + "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)", + "strsim 0.8.0 (registry+https://github.com/rust-lang/crates.io-index)", + "textwrap 0.11.0 (registry+https://github.com/rust-lang/crates.io-index)", + "unicode-width 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", + "vec_map 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "cloudabi" +version = "0.0.3" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "colored" +version = "1.8.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", + "winconsole 0.10.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "constant_time_eq" +version = "0.1.4" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "crossbeam-utils" +version = "0.6.6" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", + "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "dirs" +version = "2.0.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", + "dirs-sys 0.3.4 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "dirs-sys" +version = "0.3.4" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", + "redox_users 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", + "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "envmnt" +version = "0.7.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "indexmap 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "failure" +version = "0.1.6" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "backtrace 0.3.38 (registry+https://github.com/rust-lang/crates.io-index)", + "failure_derive 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "failure_derive" +version = "0.1.6" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "proc-macro2 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", + "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", + "syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", + "synstructure 0.12.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "fern" +version = "0.5.8" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "fuchsia-cprng" +version = "0.1.1" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "getrandom" +version = "0.1.12" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", + "wasi 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "glob" +version = "0.3.0" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "indexmap" +version = "1.2.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "lazy_static" +version = "1.4.0" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "libc" +version = "0.2.62" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "log" +version = "0.4.8" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "memchr" +version = "2.2.1" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "nodrop" +version = "0.1.14" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "num-traits" +version = "0.1.43" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "num-traits" +version = "0.2.8" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "ppv-lite86" +version = "0.2.5" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "proc-macro2" +version = "1.0.5" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "quote" +version = "1.0.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "proc-macro2 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rand" +version = "0.4.6" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", + "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", + "rdrand 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", + "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rand" +version = "0.7.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "getrandom 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)", + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", + "rand_chacha 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)", + "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", + "rand_hc 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rand_chacha" +version = "0.2.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "c2-chacha 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)", + "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rand_core" +version = "0.3.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rand_core" +version = "0.4.2" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "rand_core" +version = "0.5.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "getrandom 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rand_hc" +version = "0.2.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rand_os" +version = "0.1.3" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "cloudabi 0.0.3 (registry+https://github.com/rust-lang/crates.io-index)", + "fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", + "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)", + "rdrand 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", + "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rdrand" +version = "0.4.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "redox_syscall" +version = "0.1.56" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "redox_users" +version = "0.3.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "failure 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", + "rand_os 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)", + "redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)", + "rust-argon2 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "regex" +version = "1.3.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "aho-corasick 0.7.6 (registry+https://github.com/rust-lang/crates.io-index)", + "memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", + "regex-syntax 0.6.12 (registry+https://github.com/rust-lang/crates.io-index)", + "thread_local 0.3.6 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "regex-syntax" +version = "0.6.12" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "rgb" +version = "0.8.14" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "run_script" +version = "0.3.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "rand 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)", + "users 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rust-argon2" +version = "0.5.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "base64 0.10.1 (registry+https://github.com/rust-lang/crates.io-index)", + "blake2b_simd 0.5.8 (registry+https://github.com/rust-lang/crates.io-index)", + "crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rust_info" +version = "0.2.2" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "rustc-demangle" +version = "0.1.16" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "semver" +version = "0.9.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "semver-parser 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "semver-parser" +version = "0.7.0" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "serde" +version = "1.0.101" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "serde_derive" +version = "1.0.101" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "proc-macro2 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", + "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", + "syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "shell2batch" +version = "0.4.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "regex 1.3.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "strsim" +version = "0.8.0" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "syn" +version = "1.0.5" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "proc-macro2 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", + "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", + "unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "synstructure" +version = "0.12.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "proc-macro2 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", + "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", + "syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", + "unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "textwrap" +version = "0.11.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "unicode-width 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "thread_local" +version = "0.3.6" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "toml" +version = "0.5.3" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "unicode-width" +version = "0.1.6" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "unicode-xid" +version = "0.2.0" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "users" +version = "0.9.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "vec_map" +version = "0.8.1" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "wasi" +version = "0.7.0" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "winapi" +version = "0.3.8" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "winapi-i686-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", + "winapi-x86_64-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "winapi-i686-pc-windows-gnu" +version = "0.4.0" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "winapi-x86_64-pc-windows-gnu" +version = "0.4.0" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "winconsole" +version = "0.10.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "cgmath 0.16.1 (registry+https://github.com/rust-lang/crates.io-index)", + "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", + "rgb 0.8.14 (registry+https://github.com/rust-lang/crates.io-index)", + "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[metadata] +"checksum aho-corasick 0.7.6 (registry+https://github.com/rust-lang/crates.io-index)" = "58fb5e95d83b38284460a5fda7d6470aa0b8844d283a0b614b8535e880800d2d" +"checksum ansi_term 0.11.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ee49baf6cb617b853aa8d93bf420db2383fab46d314482ca2803b40d5fde979b" +"checksum approx 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "08abcc3b4e9339e33a3d0a5ed15d84a687350c05689d825e0f6655eef9e76a94" +"checksum arrayref 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)" = "0d382e583f07208808f6b1249e60848879ba3543f57c32277bf52d69c2f0f0ee" +"checksum arrayvec 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)" = "cd9fd44efafa8690358b7408d253adf110036b88f55672a933f01d616ad9b1b9" +"checksum atty 0.2.13 (registry+https://github.com/rust-lang/crates.io-index)" = "1803c647a3ec87095e7ae7acfca019e98de5ec9a7d01343f611cf3152ed71a90" +"checksum autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)" = "b671c8fb71b457dd4ae18c4ba1e59aa81793daacc361d82fcd410cef0d491875" +"checksum backtrace 0.3.38 (registry+https://github.com/rust-lang/crates.io-index)" = "690a62be8920ccf773ee00ef0968649b0e724cda8bd5b12286302b4ae955fdf5" +"checksum backtrace-sys 0.1.31 (registry+https://github.com/rust-lang/crates.io-index)" = "82a830b4ef2d1124a711c71d263c5abdc710ef8e907bd508c88be475cebc422b" +"checksum base64 0.10.1 (registry+https://github.com/rust-lang/crates.io-index)" = "0b25d992356d2eb0ed82172f5248873db5560c4721f564b13cb5193bda5e668e" +"checksum bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "cf1de2fe8c75bc145a2f577add951f8134889b4795d47466a54a5c846d691693" +"checksum blake2b_simd 0.5.8 (registry+https://github.com/rust-lang/crates.io-index)" = "5850aeee1552f495dd0250014cf64b82b7c8879a89d83b33bbdace2cc4f63182" +"checksum byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)" = "a7c3dd8985a7111efc5c80b44e23ecdd8c007de8ade3b96595387e812b957cf5" +"checksum c2-chacha 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "7d64d04786e0f528460fc884753cf8dddcc466be308f6026f8e355c41a0e4101" +"checksum cc 1.0.45 (registry+https://github.com/rust-lang/crates.io-index)" = "4fc9a35e1f4290eb9e5fc54ba6cf40671ed2a2514c3eeb2b2a908dda2ea5a1be" +"checksum cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)" = "4785bdd1c96b2a846b2bd7cc02e86b6b3dbf14e7e53446c4f54c92a361040822" +"checksum cgmath 0.16.1 (registry+https://github.com/rust-lang/crates.io-index)" = "64a4b57c8f4e3a2e9ac07e0f6abc9c24b6fc9e1b54c3478cfb598f3d0023e51c" +"checksum ci_info 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)" = "93b085342b4579e6bd92189bb6b832b2fff5564382e2472be42748b630e8063d" +"checksum clap 2.33.0 (registry+https://github.com/rust-lang/crates.io-index)" = "5067f5bb2d80ef5d68b4c87db81601f0b75bca627bc2ef76b141d7b846a3c6d9" +"checksum cloudabi 0.0.3 (registry+https://github.com/rust-lang/crates.io-index)" = "ddfc5b9aa5d4507acaf872de71051dfd0e309860e88966e1051e462a077aac4f" +"checksum colored 1.8.0 (registry+https://github.com/rust-lang/crates.io-index)" = "6cdb90b60f2927f8d76139c72dbde7e10c3a2bc47c8594c9c7a66529f2687c03" +"checksum constant_time_eq 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)" = "995a44c877f9212528ccc74b21a232f66ad69001e40ede5bcee2ac9ef2657120" +"checksum crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)" = "04973fa96e96579258a5091af6003abde64af786b860f18622b82e026cca60e6" +"checksum dirs 2.0.2 (registry+https://github.com/rust-lang/crates.io-index)" = "13aea89a5c93364a98e9b37b2fa237effbb694d5cfe01c5b70941f7eb087d5e3" +"checksum dirs-sys 0.3.4 (registry+https://github.com/rust-lang/crates.io-index)" = "afa0b23de8fd801745c471deffa6e12d248f962c9fd4b4c33787b055599bde7b" +"checksum envmnt 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "7760c979bc8a1f3319ee72ee71df5eaaf02ada9daaa80ae257011cab0f3a25ef" +"checksum failure 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)" = "f8273f13c977665c5db7eb2b99ae520952fe5ac831ae4cd09d80c4c7042b5ed9" +"checksum failure_derive 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)" = "0bc225b78e0391e4b8683440bf2e63c2deeeb2ce5189eab46e2b68c6d3725d08" +"checksum fern 0.5.8 (registry+https://github.com/rust-lang/crates.io-index)" = "29d26fa0f4d433d1956746e66ec10d6bf4d6c8b93cd39965cceea7f7cc78c7dd" +"checksum fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "a06f77d526c1a601b7c4cdd98f54b5eaabffc14d5f2f0296febdc7f357c6d3ba" +"checksum getrandom 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)" = "473a1265acc8ff1e808cd0a1af8cee3c2ee5200916058a2ca113c29f2d903571" +"checksum glob 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)" = "9b919933a397b79c37e33b77bb2aa3dc8eb6e165ad809e58ff75bc7db2e34574" +"checksum indexmap 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "a61202fbe46c4a951e9404a720a0180bcf3212c750d735cb5c4ba4dc551299f3" +"checksum lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646" +"checksum libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)" = "34fcd2c08d2f832f376f4173a231990fa5aef4e99fb569867318a227ef4c06ba" +"checksum log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)" = "14b6052be84e6b71ab17edffc2eeabf5c2c3ae1fdb464aae35ac50c67a44e1f7" +"checksum memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "88579771288728879b57485cc7d6b07d648c9f0141eb955f8ab7f9d45394468e" +"checksum nodrop 0.1.14 (registry+https://github.com/rust-lang/crates.io-index)" = "72ef4a56884ca558e5ddb05a1d1e7e1bfd9a68d9ed024c21704cc98872dae1bb" +"checksum num-traits 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)" = "92e5113e9fd4cc14ded8e499429f396a20f98c772a47cc8622a736e1ec843c31" +"checksum num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)" = "6ba9a427cfca2be13aa6f6403b0b7e7368fe982bfa16fccc450ce74c46cd9b32" +"checksum ppv-lite86 0.2.5 (registry+https://github.com/rust-lang/crates.io-index)" = "e3cbf9f658cdb5000fcf6f362b8ea2ba154b9f146a61c7a20d647034c6b6561b" +"checksum proc-macro2 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)" = "90cf5f418035b98e655e9cdb225047638296b862b42411c4e45bb88d700f7fc0" +"checksum quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)" = "053a8c8bcc71fcce321828dc897a98ab9760bef03a4fc36693c231e5b3216cfe" +"checksum rand 0.4.6 (registry+https://github.com/rust-lang/crates.io-index)" = "552840b97013b1a26992c11eac34bdd778e464601a4c2054b5f0bff7c6761293" +"checksum rand 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)" = "3ae1b169243eaf61759b8475a998f0a385e42042370f3a7dbaf35246eacc8412" +"checksum rand_chacha 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "03a2a90da8c7523f554344f921aa97283eadf6ac484a6d2a7d0212fa7f8d6853" +"checksum rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "7a6fdeb83b075e8266dcc8762c22776f6877a63111121f5f8c7411e5be7eed4b" +"checksum rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)" = "9c33a3c44ca05fa6f1807d8e6743f3824e8509beca625669633be0acbdf509dc" +"checksum rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)" = "90bde5296fc891b0cef12a6d03ddccc162ce7b2aff54160af9338f8d40df6d19" +"checksum rand_hc 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ca3129af7b92a17112d59ad498c6f81eaf463253766b90396d39ea7a39d6613c" +"checksum rand_os 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)" = "7b75f676a1e053fc562eafbb47838d67c84801e38fc1ba459e8f180deabd5071" +"checksum rdrand 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "678054eb77286b51581ba43620cc911abf02758c91f93f479767aed0f90458b2" +"checksum redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)" = "2439c63f3f6139d1b57529d16bc3b8bb855230c8efcc5d3a896c8bea7c3b1e84" +"checksum redox_users 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "4ecedbca3bf205f8d8f5c2b44d83cd0690e39ee84b951ed649e9f1841132b66d" +"checksum regex 1.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "dc220bd33bdce8f093101afe22a037b8eb0e5af33592e6a9caafff0d4cb81cbd" +"checksum regex-syntax 0.6.12 (registry+https://github.com/rust-lang/crates.io-index)" = "11a7e20d1cce64ef2fed88b66d347f88bd9babb82845b2b858f3edbf59a4f716" +"checksum rgb 0.8.14 (registry+https://github.com/rust-lang/crates.io-index)" = "2089e4031214d129e201f8c3c8c2fe97cd7322478a0d1cdf78e7029b0042efdb" +"checksum run_script 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)" = "61b3a5ed82e15afc3e238178e2d22113af69ac88bd64a04499f025478853937f" +"checksum rust-argon2 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)" = "4ca4eaef519b494d1f2848fc602d18816fed808a981aedf4f1f00ceb7c9d32cf" +"checksum rust_info 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "6e4e04a5022c08c95c2285b0beb4cdd24c9b20bc018a263d6fdb0372f7a597db" +"checksum rustc-demangle 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)" = "4c691c0e608126e00913e33f0ccf3727d5fc84573623b8d65b2df340b5201783" +"checksum semver 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)" = "1d7eb9ef2c18661902cc47e535f9bc51b78acd254da71d375c2f6720d9a40403" +"checksum semver-parser 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "388a1df253eca08550bef6c72392cfe7c30914bf41df5269b68cbd6ff8f570a3" +"checksum serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)" = "9796c9b7ba2ffe7a9ce53c2287dfc48080f4b2b362fcc245a259b3a7201119dd" +"checksum serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)" = "4b133a43a1ecd55d4086bd5b4dc6c1751c68b1bfbeba7a5040442022c7e7c02e" +"checksum shell2batch 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)" = "185a52ee351c1001753c9e3b2eb48c525ff7f51803a4f2cef4365b5c3b743f65" +"checksum strsim 0.8.0 (registry+https://github.com/rust-lang/crates.io-index)" = "8ea5119cdb4c55b55d432abb513a0429384878c15dde60cc77b1c99de1a95a6a" +"checksum syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)" = "66850e97125af79138385e9b88339cbcd037e3f28ceab8c5ad98e64f0f1f80bf" +"checksum synstructure 0.12.1 (registry+https://github.com/rust-lang/crates.io-index)" = "3f085a5855930c0441ca1288cf044ea4aecf4f43a91668abdb870b4ba546a203" +"checksum textwrap 0.11.0 (registry+https://github.com/rust-lang/crates.io-index)" = "d326610f408c7a4eb6f51c37c330e496b08506c9457c9d34287ecc38809fb060" +"checksum thread_local 0.3.6 (registry+https://github.com/rust-lang/crates.io-index)" = "c6b53e329000edc2b34dbe8545fd20e55a333362d0a321909685a19bd28c3f1b" +"checksum toml 0.5.3 (registry+https://github.com/rust-lang/crates.io-index)" = "c7aabe75941d914b72bf3e5d3932ed92ce0664d49d8432305a8b547c37227724" +"checksum unicode-width 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)" = "7007dbd421b92cc6e28410fe7362e2e0a2503394908f417b68ec8d1c364c4e20" +"checksum unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "826e7639553986605ec5979c7dd957c7895e93eabed50ab2ffa7f6128a75097c" +"checksum users 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "c72f4267aea0c3ec6d07eaabea6ead7c5ddacfafc5e22bcf8d186706851fb4cf" +"checksum vec_map 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)" = "05c78687fb1a80548ae3250346c3db86a80a7cdd77bda190189f2d0a0987c81a" +"checksum wasi 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "b89c3ce4ce14bdc6fb6beaf9ec7928ca331de5df7e5ea278375642a2f478570d" +"checksum winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)" = "8093091eeb260906a183e6ae1abdba2ef5ef2257a21801128899c3fc699229c6" +"checksum winapi-i686-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6" +"checksum winapi-x86_64-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f" +"checksum winconsole 0.10.0 (registry+https://github.com/rust-lang/crates.io-index)" = "3ef84b96d10db72dd980056666d7f1e7663ce93d82fa33b63e71c966f4cf5032" diff --git a/nixpkgs/pkgs/development/tools/rust/cargo-make/default.nix b/nixpkgs/pkgs/development/tools/rust/cargo-make/default.nix index 5b73752659b..0685c11ddfa 100644 --- a/nixpkgs/pkgs/development/tools/rust/cargo-make/default.nix +++ b/nixpkgs/pkgs/development/tools/rust/cargo-make/default.nix @@ -2,7 +2,7 @@ rustPlatform.buildRustPackage rec { pname = "cargo-make"; - version = "0.22.1"; + version = "0.23.0"; src = let @@ -10,22 +10,18 @@ rustPlatform.buildRustPackage rec { owner = "sagiegurari"; repo = pname; rev = version; - sha256 = "1wsams41zl56mkb8671n5fqkkchs68jd9nvfzry8axxiv7n175gc"; - }; - cargo-lock = fetchurl { - url = "https://gist.githubusercontent.com/xrelkd/e4c9c7738b21f284d97cb7b1d181317d/raw/850e9830f4ab4bc65da6eb5cd8b0911970a7739f/cargo-make-Cargo.lock"; - sha256 = "0knmzplxmh8vksmpg56l2p1a10hpqbr9hmbk3hv0aj63125rhhqy"; + sha256 = "1g62k0g9b5m8jaxxkbx0d59k8yb3di59l3p9m32hx617rn4k5wjd"; }; in runCommand "cargo-make-src" {} '' cp -R ${source} $out chmod +w $out - cp ${cargo-lock} $out/Cargo.lock + cp ${./Cargo.lock} $out/Cargo.lock ''; buildInputs = stdenv.lib.optionals stdenv.isDarwin [ Security ]; - cargoSha256 = "18j0nflf997z4nwdxifxp1ji1rbwqbg6zm2256j21am4ak45krsy"; + cargoSha256 = "1mfsjxvyybq9d5702habxq5abcp9h11qx0ci2rqs2rgkbcnksk98"; # Some tests fail because they need network access. # However, Travis ensures a proper build. @@ -37,7 +33,7 @@ rustPlatform.buildRustPackage rec { description = "A Rust task runner and build tool"; homepage = "https://github.com/sagiegurari/cargo-make"; license = licenses.asl20; - maintainers = with maintainers; [ xrelkd ]; + maintainers = with maintainers; [ xrelkd ma27 ]; platforms = platforms.all; }; } diff --git a/nixpkgs/pkgs/development/tools/rust/racer/default.nix b/nixpkgs/pkgs/development/tools/rust/racer/default.nix index a06723fc28c..65d3006a3b8 100644 --- a/nixpkgs/pkgs/development/tools/rust/racer/default.nix +++ b/nixpkgs/pkgs/development/tools/rust/racer/default.nix @@ -2,16 +2,16 @@ rustPlatform.buildRustPackage rec { pname = "racer"; - version = "2.1.22"; + version = "2.1.27"; src = fetchFromGitHub { owner = "racer-rust"; repo = "racer"; rev = "v${version}"; - sha256 = "1n808h4jqxkvpjwmj8jgi4y5is5zvr8vn42mwb3yi13mix32cysa"; + sha256 = "1ajj515ck5n0mzig77xnq1qgb2s4gf6ahgd5mab91406jvij9qf3"; }; - cargoSha256 = "0njaa9vk2i9g1c6sq20b7ls97nl532rfv3is7d8dwz51nrwk6jxs"; + cargoSha256 = "0ki5j5gh59c92a0w43kzljpiamv2jvyk4m2w8qldfyl5kaa40dxb"; buildInputs = [ makeWrapper ] ++ stdenv.lib.optional stdenv.isDarwin Security; @@ -21,7 +21,7 @@ rustPlatform.buildRustPackage rec { RUST_SRC_PATH = rustPlatform.rustcSrc; postInstall = '' - wrapProgram $out/bin/racer --set-default RUST_SRC_PATH $rustcSrc + wrapProgram $out/bin/racer --set-default RUST_SRC_PATH ${rustPlatform.rustcSrc} ''; checkPhase = '' @@ -31,7 +31,8 @@ rustPlatform.buildRustPackage rec { --skip util::test_get_rust_src_path_not_rust_source_tree \ --skip extern --skip completes_pub_fn --skip find_crate_doc \ --skip follows_use_local_package --skip follows_use_for_reexport \ - --skip follows_rand_crate --skip get_completion_in_example_dir + --skip follows_rand_crate --skip get_completion_in_example_dir \ + --skip test_resolve_global_path_in_modules ''; doInstallCheck = true; diff --git a/nixpkgs/pkgs/development/tools/rust/rustup/default.nix b/nixpkgs/pkgs/development/tools/rust/rustup/default.nix index ec0eb08bf23..d36306a0756 100644 --- a/nixpkgs/pkgs/development/tools/rust/rustup/default.nix +++ b/nixpkgs/pkgs/development/tools/rust/rustup/default.nix @@ -4,16 +4,16 @@ rustPlatform.buildRustPackage rec { pname = "rustup"; - version = "1.19.0"; + version = "1.20.2"; src = fetchFromGitHub { owner = "rust-lang"; repo = "rustup.rs"; rev = version; - sha256 = "1c0qz9s09ikgy23yssd57v7b5s005y128sldmq0xd9i1fryp129z"; + sha256 = "0vfrfjfg5x1g44w03rdci2ky7s3s7rljdcmmp5h6pdvhzrd234aj"; }; - cargoSha256 = "0rjm01pnb2w39c0jrscmhhsx9gsi3sl9cxd838m77h9pzwsp1h40"; + cargoSha256 = "1lsv1d99dn6mngaqhd3lw90cr3zg4gq08wi0adxkkhaikc9jjdwh"; nativeBuildInputs = [ pkgconfig ]; diff --git a/nixpkgs/pkgs/development/tools/trellis/default.nix b/nixpkgs/pkgs/development/tools/trellis/default.nix index d9ec787fc47..007f97753cd 100644 --- a/nixpkgs/pkgs/development/tools/trellis/default.nix +++ b/nixpkgs/pkgs/development/tools/trellis/default.nix @@ -8,25 +8,25 @@ let in stdenv.mkDerivation rec { pname = "trellis"; - version = "2019.09.27"; + version = "2019.10.13"; # git describe --tags realVersion = with stdenv.lib; with builtins; - "1.0-71-g${substring 0 7 (elemAt srcs 0).rev}"; + "1.0-95-g${substring 0 7 (elemAt srcs 0).rev}"; srcs = [ (fetchFromGitHub { - owner = "symbiflow"; + owner = "SymbiFlow"; repo = "prjtrellis"; - rev = "06904938dfe8f52392e73f7c8b1e034327887c27"; - sha256 = "1yk13pipj7wp2mma0qcss4sa0wx8h60x0l0x9awh8g2iyk1y8nfw"; + rev = "e2e10bfdfaa29fed5d19e83dc7460be9880f5af4"; + sha256 = "0l59nliv75rdxnajl2plilib0r0bzbr3qqzc88cdal841x1m0izs"; name = "trellis"; }) (fetchFromGitHub { - owner = "symbiflow"; + owner = "SymbiFlow"; repo = "prjtrellis-db"; - rev = "b4d626b6402c131e9a035470ffe4cf33ccbe7986"; - sha256 = "0k26lq6c049ja8hhqcljwjb1y5k4gcici23l2n86gyp83jr03ilx"; - name = "database"; + rev = "5b5bb70bae13e6b8c971b4b2d26931f4a64b51bc"; + sha256 = "1fi963zdny3gxdvq564037qs22i7b4y7mxc3yij2a1ww8rzrnpdj"; + name = "trellis-database"; }) ]; sourceRoot = "trellis"; diff --git a/nixpkgs/pkgs/development/tools/wasm-bindgen-cli/0001-Add-cargo.lock-for-rustPlatform.buildRustPackage-in-.patch b/nixpkgs/pkgs/development/tools/wasm-bindgen-cli/0001-Add-cargo.lock-for-rustPlatform.buildRustPackage-in-.patch new file mode 100644 index 00000000000..e564717a56f --- /dev/null +++ b/nixpkgs/pkgs/development/tools/wasm-bindgen-cli/0001-Add-cargo.lock-for-rustPlatform.buildRustPackage-in-.patch @@ -0,0 +1,2240 @@ +From 3d3c33a610856b861204ccb111777e9edd8799b8 Mon Sep 17 00:00:00 2001 +From: Maximilian Bosch <maximilian@mbosch.me> +Date: Thu, 3 Oct 2019 22:26:12 +0200 +Subject: [PATCH] Add cargo.lock for `rustPlatform.buildRustPackage` in nixpkgs + +--- + Cargo.lock | 2221 ++++++++++++++++++++++++++++++++++++++++++++++++++++ + 1 file changed, 2221 insertions(+) + create mode 100644 Cargo.lock + +diff --git a/Cargo.lock b/Cargo.lock +new file mode 100644 +index 00000000..d7d32a06 +--- /dev/null ++++ b/Cargo.lock +@@ -0,0 +1,2221 @@ ++# This file is automatically @generated by Cargo. ++# It is not intended for manual editing. ++[[package]] ++name = "add" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "adler32" ++version = "1.0.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "aho-corasick" ++version = "0.7.6" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "arrayref" ++version = "0.3.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "arrayvec" ++version = "0.4.11" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "nodrop 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "ascii" ++version = "0.8.7" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "askama" ++version = "0.7.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "askama_derive 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "askama_shared 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "askama_derive" ++version = "0.7.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "askama_shared 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "nom 4.2.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)", ++ "syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "askama_shared" ++version = "0.7.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "toml 0.4.10 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "assert_cmd" ++version = "0.11.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "escargot 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "predicates 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "predicates-core 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "predicates-tree 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "atty" ++version = "0.2.13" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "autocfg" ++version = "0.1.6" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "backtrace" ++version = "0.3.38" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "backtrace-sys 0.1.31 (registry+https://github.com/rust-lang/crates.io-index)", ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rustc-demangle 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "backtrace-sys" ++version = "0.1.31" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cc 1.0.45 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "base64" ++version = "0.9.3" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "safemem 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "base64" ++version = "0.10.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "bitflags" ++version = "0.7.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "bitflags" ++version = "1.2.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "blake2b_simd" ++version = "0.5.8" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "arrayref 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "arrayvec 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)", ++ "constant_time_eq 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "buf_redux" ++version = "0.8.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "safemem 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "bumpalo" ++version = "2.6.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "byteorder" ++version = "1.3.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "c2-chacha" ++version = "0.2.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "ppv-lite86 0.2.5 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "canvas" ++version = "0.1.0" ++dependencies = [ ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "cc" ++version = "1.0.45" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "cfg-if" ++version = "0.1.10" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "char" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "chrono" ++version = "0.4.9" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-integer 0.1.41 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "time 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "chunked_transfer" ++version = "0.3.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "closures" ++version = "0.1.0" ++dependencies = [ ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "cloudabi" ++version = "0.0.3" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "bitflags 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "color_quant" ++version = "1.0.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "console_error_panic_hook" ++version = "0.1.6" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "console_log" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "constant_time_eq" ++version = "0.1.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "crossbeam-deque" ++version = "0.7.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "crossbeam-epoch 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "crossbeam-epoch" ++version = "0.7.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "arrayvec 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)", ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "memoffset 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "scopeguard 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "crossbeam-queue" ++version = "0.1.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "crossbeam-utils" ++version = "0.6.6" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "curl" ++version = "0.4.24" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "curl-sys 0.4.22 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "openssl-probe 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "openssl-sys 0.9.50 (registry+https://github.com/rust-lang/crates.io-index)", ++ "schannel 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)", ++ "socket2 0.3.11 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "curl-sys" ++version = "0.4.22" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cc 1.0.45 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libz-sys 1.0.25 (registry+https://github.com/rust-lang/crates.io-index)", ++ "openssl-sys 0.9.50 (registry+https://github.com/rust-lang/crates.io-index)", ++ "pkg-config 0.3.16 (registry+https://github.com/rust-lang/crates.io-index)", ++ "vcpkg 0.2.7 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "deflate" ++version = "0.7.20" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "adler32 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "difference" ++version = "2.0.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "dirs" ++version = "1.0.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "redox_users 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "docopt" ++version = "1.1.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "regex 1.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "strsim 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "dom" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "either" ++version = "1.5.3" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "enum_primitive" ++version = "0.1.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "num-traits 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "env_logger" ++version = "0.7.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "atty 0.2.13 (registry+https://github.com/rust-lang/crates.io-index)", ++ "humantime 1.3.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "regex 1.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "termcolor 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "escargot" ++version = "0.4.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_json 1.0.40 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "failure" ++version = "0.1.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "backtrace 0.3.38 (registry+https://github.com/rust-lang/crates.io-index)", ++ "failure_derive 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "failure_derive" ++version = "0.1.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)", ++ "syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)", ++ "synstructure 0.10.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "fetch" ++version = "0.1.0" ++dependencies = [ ++ "js-sys 0.3.28", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-futures 0.4.1", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "filetime" ++version = "0.2.7" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "float-cmp" ++version = "0.4.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "foreign-types" ++version = "0.3.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "foreign-types-shared 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "foreign-types-shared" ++version = "0.1.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "fuchsia-cprng" ++version = "0.1.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "futures-channel-preview" ++version = "0.3.0-alpha.19" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "futures-core-preview 0.3.0-alpha.19 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "futures-core-preview" ++version = "0.3.0-alpha.19" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "getrandom" ++version = "0.1.12" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasi 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "gif" ++version = "0.9.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "color_quant 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "lzw 0.10.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "glob" ++version = "0.3.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "guide-supported-types-examples" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "heck" ++version = "0.3.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "unicode-segmentation 1.3.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "hello_world" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "httparse" ++version = "1.3.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "humantime" ++version = "1.3.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "quick-error 1.2.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "id-arena" ++version = "2.2.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rayon 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "idna" ++version = "0.1.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "matches 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "unicode-bidi 0.3.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "unicode-normalization 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "image" ++version = "0.12.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "enum_primitive 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "gif 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "jpeg-decoder 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-iter 0.1.39 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-rational 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-traits 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)", ++ "png 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "scoped_threadpool 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "import_js" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "inflate" ++version = "0.1.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "itoa" ++version = "0.4.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "jpeg-decoder" ++version = "0.1.16" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rayon 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "js-sys" ++version = "0.3.28" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-futures 0.4.1", ++ "wasm-bindgen-test 0.3.1", ++] ++ ++[[package]] ++name = "julia_set" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "lazy_static" ++version = "1.4.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "leb128" ++version = "0.2.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "libc" ++version = "0.2.62" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "libz-sys" ++version = "1.0.25" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cc 1.0.45 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "pkg-config 0.3.16 (registry+https://github.com/rust-lang/crates.io-index)", ++ "vcpkg 0.2.7 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "log" ++version = "0.3.9" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "log" ++version = "0.4.8" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "lzw" ++version = "0.10.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "matches" ++version = "0.1.8" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "memchr" ++version = "2.2.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "memoffset" ++version = "0.5.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rustc_version 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "mime" ++version = "0.2.6" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "log 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "mime_guess" ++version = "1.8.7" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "mime 0.2.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "phf 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)", ++ "phf_codegen 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)", ++ "unicase 1.4.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "multipart" ++version = "0.15.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "buf_redux 0.8.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "httparse 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "mime 0.2.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "mime_guess 1.8.7 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quick-error 1.2.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand 0.4.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "safemem 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "tempdir 0.3.7 (registry+https://github.com/rust-lang/crates.io-index)", ++ "twoway 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "no-std" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "nodrop" ++version = "0.1.13" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "nom" ++version = "4.2.3" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "version_check 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "normalize-line-endings" ++version = "0.2.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "num-integer" ++version = "0.1.41" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "num-iter" ++version = "0.1.39" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-integer 0.1.41 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "num-rational" ++version = "0.1.42" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "num-integer 0.1.41 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "num-traits" ++version = "0.1.43" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "num-traits" ++version = "0.2.8" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "num_cpus" ++version = "1.10.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "openssl" ++version = "0.10.25" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "bitflags 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "foreign-types 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "openssl-sys 0.9.50 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "openssl-probe" ++version = "0.1.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "openssl-src" ++version = "111.6.0+1.1.1d" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cc 1.0.45 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "openssl-sys" ++version = "0.9.50" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "cc 1.0.45 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "openssl-src 111.6.0+1.1.1d (registry+https://github.com/rust-lang/crates.io-index)", ++ "pkg-config 0.3.16 (registry+https://github.com/rust-lang/crates.io-index)", ++ "vcpkg 0.2.7 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "percent-encoding" ++version = "1.0.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "performance" ++version = "0.1.0" ++dependencies = [ ++ "humantime 1.3.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "phf" ++version = "0.7.24" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "phf_shared 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "phf_codegen" ++version = "0.7.24" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "phf_generator 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)", ++ "phf_shared 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "phf_generator" ++version = "0.7.24" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "phf_shared 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand 0.6.5 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "phf_shared" ++version = "0.7.24" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "siphasher 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "unicase 1.4.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "pkg-config" ++version = "0.3.16" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "png" ++version = "0.6.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "bitflags 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "deflate 0.7.20 (registry+https://github.com/rust-lang/crates.io-index)", ++ "inflate 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-iter 0.1.39 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "ppv-lite86" ++version = "0.2.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "predicates" ++version = "1.0.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "difference 2.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "float-cmp 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "normalize-line-endings 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "predicates-core 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "regex 1.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "predicates-core" ++version = "1.0.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "predicates-tree" ++version = "1.0.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "predicates-core 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "treeline 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "proc-macro2" ++version = "0.4.30" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "proc-macro2" ++version = "1.0.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "quick-error" ++version = "1.2.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "quote" ++version = "0.6.13" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "quote" ++version = "1.0.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand" ++version = "0.4.6" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rdrand 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand" ++version = "0.5.6" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cloudabi 0.0.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand" ++version = "0.6.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_chacha 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_hc 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_isaac 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_jitter 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_os 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_pcg 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_xorshift 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand" ++version = "0.7.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "getrandom 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_chacha 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_hc 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_chacha" ++version = "0.1.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_chacha" ++version = "0.2.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "c2-chacha 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_core" ++version = "0.3.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_core" ++version = "0.4.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "rand_core" ++version = "0.5.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "getrandom 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_hc" ++version = "0.1.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_hc" ++version = "0.2.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_isaac" ++version = "0.1.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_jitter" ++version = "0.1.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_os" ++version = "0.1.3" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cloudabi 0.0.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rdrand 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_pcg" ++version = "0.1.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_xorshift" ++version = "0.1.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rayon" ++version = "1.2.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "crossbeam-deque 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "either 1.5.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rayon-core 1.6.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rayon-core" ++version = "1.6.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "crossbeam-deque 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "crossbeam-queue 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num_cpus 1.10.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "raytrace-parallel" ++version = "0.1.0" ++dependencies = [ ++ "console_error_panic_hook 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "futures-channel-preview 0.3.0-alpha.19 (registry+https://github.com/rust-lang/crates.io-index)", ++ "js-sys 0.3.28", ++ "rayon 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rayon-core 1.6.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "raytracer 0.1.0 (git+https://github.com/alexcrichton/raytracer?branch=update-deps)", ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-futures 0.4.1", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "raytracer" ++version = "0.1.0" ++source = "git+https://github.com/alexcrichton/raytracer?branch=update-deps#42faa13859f7d8d47fd18be785c108003a207786" ++dependencies = [ ++ "image 0.12.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rdrand" ++version = "0.4.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "redox_syscall" ++version = "0.1.56" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "redox_users" ++version = "0.3.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_os 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rust-argon2 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "regex" ++version = "1.3.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "aho-corasick 0.7.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "regex-syntax 0.6.12 (registry+https://github.com/rust-lang/crates.io-index)", ++ "thread_local 0.3.6 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "regex-syntax" ++version = "0.6.12" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "remove_dir_all" ++version = "0.5.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "request-animation-frame" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "rouille" ++version = "3.0.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "base64 0.9.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "chrono 0.4.9 (registry+https://github.com/rust-lang/crates.io-index)", ++ "filetime 0.2.7 (registry+https://github.com/rust-lang/crates.io-index)", ++ "multipart 0.15.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num_cpus 1.10.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand 0.5.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_json 1.0.40 (registry+https://github.com/rust-lang/crates.io-index)", ++ "sha1 0.6.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "term 0.5.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "threadpool 1.7.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "time 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)", ++ "tiny_http 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "url 1.7.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rust-argon2" ++version = "0.5.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "base64 0.10.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "blake2b_simd 0.5.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rust-duck-typed-interfaces" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "rustc-demangle" ++version = "0.1.16" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "rustc_version" ++version = "0.2.3" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "semver 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "ryu" ++version = "1.0.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "safemem" ++version = "0.3.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "sample" ++version = "0.1.0" ++dependencies = [ ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-futures 0.4.1", ++ "wasm-bindgen-test 0.3.1", ++] ++ ++[[package]] ++name = "schannel" ++version = "0.1.16" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "scoped-tls" ++version = "1.0.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "scoped_threadpool" ++version = "0.1.9" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "scopeguard" ++version = "1.0.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "semver" ++version = "0.9.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "semver-parser 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "semver-parser" ++version = "0.7.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "serde" ++version = "1.0.101" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "serde_derive" ++version = "1.0.101" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "serde_json" ++version = "1.0.40" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "itoa 0.4.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "ryu 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "sha1" ++version = "0.6.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "siphasher" ++version = "0.2.3" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "smallvec" ++version = "0.6.10" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "socket2" ++version = "0.3.11" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "sourcefile" ++version = "0.1.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "strsim" ++version = "0.9.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "syn" ++version = "0.15.44" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)", ++ "unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "syn" ++version = "1.0.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "synstructure" ++version = "0.10.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)", ++ "syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)", ++ "unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "tempdir" ++version = "0.3.7" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rand 0.4.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "remove_dir_all 0.5.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "tempfile" ++version = "3.1.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)", ++ "remove_dir_all 0.5.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "term" ++version = "0.5.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "dirs 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "termcolor" ++version = "1.0.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "wincolor 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "thread_local" ++version = "0.3.6" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "threadpool" ++version = "1.7.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "num_cpus 1.10.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "time" ++version = "0.1.42" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "tiny_http" ++version = "0.6.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "ascii 0.8.7 (registry+https://github.com/rust-lang/crates.io-index)", ++ "chrono 0.4.9 (registry+https://github.com/rust-lang/crates.io-index)", ++ "chunked_transfer 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "url 1.7.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "todomvc" ++version = "0.1.0" ++dependencies = [ ++ "askama 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "console_error_panic_hook 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "toml" ++version = "0.4.10" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "toml" ++version = "0.5.3" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "treeline" ++version = "0.1.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "trybuild" ++version = "1.0.16" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "glob 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_json 1.0.40 (registry+https://github.com/rust-lang/crates.io-index)", ++ "termcolor 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "toml 0.5.3 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "twoway" ++version = "0.1.8" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "typescript-tests" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "unicase" ++version = "1.4.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "version_check 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "unicode-bidi" ++version = "0.3.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "matches 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "unicode-normalization" ++version = "0.1.8" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "smallvec 0.6.10 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "unicode-segmentation" ++version = "1.3.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "unicode-xid" ++version = "0.1.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "unicode-xid" ++version = "0.2.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "url" ++version = "1.7.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "idna 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "matches 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "percent-encoding 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "vcpkg" ++version = "0.2.7" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "version_check" ++version = "0.1.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "walrus" ++version = "0.12.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "id-arena 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "leb128 0.2.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rayon 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus-macro 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasmparser 0.37.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "walrus-macro" ++version = "0.12.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "heck 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasi" ++version = "0.7.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "wasm-bindgen" ++version = "0.2.51" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "js-sys 0.3.28", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_json 1.0.40 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen-futures 0.4.1", ++ "wasm-bindgen-macro 0.2.51", ++ "wasm-bindgen-test 0.3.1", ++ "wasm-bindgen-test-crate-a 0.1.0", ++ "wasm-bindgen-test-crate-b 0.1.0", ++] ++ ++[[package]] ++name = "wasm-bindgen-anyref-xform" ++version = "0.2.51" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasm-bindgen-backend" ++version = "0.2.51" ++dependencies = [ ++ "bumpalo 2.6.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen-shared 0.2.51", ++] ++ ++[[package]] ++name = "wasm-bindgen-benchmark" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "wasm-bindgen-cli" ++version = "0.2.51" ++dependencies = [ ++ "assert_cmd 0.11.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "curl 0.4.24 (registry+https://github.com/rust-lang/crates.io-index)", ++ "docopt 1.1.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "env_logger 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "openssl 0.10.25 (registry+https://github.com/rust-lang/crates.io-index)", ++ "predicates 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rouille 3.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_json 1.0.40 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen-cli-support 0.2.51", ++ "wasm-bindgen-shared 0.2.51", ++] ++ ++[[package]] ++name = "wasm-bindgen-cli-support" ++version = "0.2.51" ++dependencies = [ ++ "base64 0.9.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rustc-demangle 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_json 1.0.40 (registry+https://github.com/rust-lang/crates.io-index)", ++ "tempfile 3.1.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen-anyref-xform 0.2.51", ++ "wasm-bindgen-multi-value-xform 0.2.51", ++ "wasm-bindgen-shared 0.2.51", ++ "wasm-bindgen-threads-xform 0.2.51", ++ "wasm-bindgen-wasm-conventions 0.2.51", ++ "wasm-bindgen-wasm-interpreter 0.2.51", ++ "wasm-webidl-bindings 0.5.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasm-bindgen-futures" ++version = "0.4.1" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "futures-channel-preview 0.3.0-alpha.19 (registry+https://github.com/rust-lang/crates.io-index)", ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-test 0.3.1", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "wasm-bindgen-macro" ++version = "0.2.51" ++dependencies = [ ++ "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "trybuild 1.0.16 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-futures 0.4.1", ++ "wasm-bindgen-macro-support 0.2.51", ++] ++ ++[[package]] ++name = "wasm-bindgen-macro-support" ++version = "0.2.51" ++dependencies = [ ++ "proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen-backend 0.2.51", ++ "wasm-bindgen-shared 0.2.51", ++] ++ ++[[package]] ++name = "wasm-bindgen-multi-value-xform" ++version = "0.2.51" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasm-bindgen-paint" ++version = "0.1.0" ++dependencies = [ ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "wasm-bindgen-shared" ++version = "0.2.51" ++ ++[[package]] ++name = "wasm-bindgen-test" ++version = "0.3.1" ++dependencies = [ ++ "console_error_panic_hook 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "js-sys 0.3.28", ++ "scoped-tls 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-futures 0.4.1", ++ "wasm-bindgen-test-macro 0.3.1", ++] ++ ++[[package]] ++name = "wasm-bindgen-test-crate-a" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "wasm-bindgen-test-crate-b" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "wasm-bindgen-test-macro" ++version = "0.3.1" ++dependencies = [ ++ "proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasm-bindgen-threads-xform" ++version = "0.2.51" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen-wasm-conventions 0.2.51", ++] ++ ++[[package]] ++name = "wasm-bindgen-wasm-conventions" ++version = "0.2.51" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasm-bindgen-wasm-interpreter" ++version = "0.2.51" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "tempfile 3.1.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasm-bindgen-webidl" ++version = "0.2.51" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "heck 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen-backend 0.2.51", ++ "weedle 0.10.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasm-in-wasm" ++version = "0.1.0" ++dependencies = [ ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "wasm-webidl-bindings" ++version = "0.5.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "id-arena 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "leb128 0.2.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasm2js" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "wasmparser" ++version = "0.37.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "web-sys" ++version = "0.3.28" ++dependencies = [ ++ "env_logger 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "js-sys 0.3.28", ++ "sourcefile 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-futures 0.4.1", ++ "wasm-bindgen-test 0.3.1", ++ "wasm-bindgen-webidl 0.2.51", ++] ++ ++[[package]] ++name = "webaudio" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "webgl" ++version = "0.1.0" ++dependencies = [ ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "webidl-tests" ++version = "0.1.0" ++dependencies = [ ++ "env_logger 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-test 0.3.1", ++ "wasm-bindgen-webidl 0.2.51", ++] ++ ++[[package]] ++name = "websockets" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "weedle" ++version = "0.10.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "nom 4.2.3 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "winapi" ++version = "0.3.8" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "winapi-i686-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi-x86_64-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "winapi-i686-pc-windows-gnu" ++version = "0.4.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "winapi-util" ++version = "0.1.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "winapi-x86_64-pc-windows-gnu" ++version = "0.4.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "wincolor" ++version = "1.0.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi-util 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "without-a-bundler" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "without-a-bundler-no-modules" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[metadata] ++"checksum adler32 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)" = "5d2e7343e7fc9de883d1b0341e0b13970f764c14101234857d2ddafa1cb1cac2" ++"checksum aho-corasick 0.7.6 (registry+https://github.com/rust-lang/crates.io-index)" = "58fb5e95d83b38284460a5fda7d6470aa0b8844d283a0b614b8535e880800d2d" ++"checksum arrayref 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)" = "0d382e583f07208808f6b1249e60848879ba3543f57c32277bf52d69c2f0f0ee" ++"checksum arrayvec 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)" = "b8d73f9beda665eaa98ab9e4f7442bd4e7de6652587de55b2525e52e29c1b0ba" ++"checksum ascii 0.8.7 (registry+https://github.com/rust-lang/crates.io-index)" = "97be891acc47ca214468e09425d02cef3af2c94d0d82081cd02061f996802f14" ++"checksum askama 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)" = "eed81479263c8753e06f4981f5a313b3fe6cbff30c3ff8d9ae15ef0c72d93fb5" ++"checksum askama_derive 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)" = "46580c08e5520afadc6e9064759e15fc743489a4db78f9c751113e3d32a1e083" ++"checksum askama_shared 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)" = "64509fd5c2fa767fa7ea973b732c61f0b8d30d1adf084e5164523e51a5e35d71" ++"checksum assert_cmd 0.11.1 (registry+https://github.com/rust-lang/crates.io-index)" = "2dc477793bd82ec39799b6f6b3df64938532fdf2ab0d49ef817eac65856a5a1e" ++"checksum atty 0.2.13 (registry+https://github.com/rust-lang/crates.io-index)" = "1803c647a3ec87095e7ae7acfca019e98de5ec9a7d01343f611cf3152ed71a90" ++"checksum autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)" = "b671c8fb71b457dd4ae18c4ba1e59aa81793daacc361d82fcd410cef0d491875" ++"checksum backtrace 0.3.38 (registry+https://github.com/rust-lang/crates.io-index)" = "690a62be8920ccf773ee00ef0968649b0e724cda8bd5b12286302b4ae955fdf5" ++"checksum backtrace-sys 0.1.31 (registry+https://github.com/rust-lang/crates.io-index)" = "82a830b4ef2d1124a711c71d263c5abdc710ef8e907bd508c88be475cebc422b" ++"checksum base64 0.10.1 (registry+https://github.com/rust-lang/crates.io-index)" = "0b25d992356d2eb0ed82172f5248873db5560c4721f564b13cb5193bda5e668e" ++"checksum base64 0.9.3 (registry+https://github.com/rust-lang/crates.io-index)" = "489d6c0ed21b11d038c31b6ceccca973e65d73ba3bd8ecb9a2babf5546164643" ++"checksum bitflags 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "aad18937a628ec6abcd26d1489012cc0e18c21798210f491af69ded9b881106d" ++"checksum bitflags 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "8a606a02debe2813760609f57a64a2ffd27d9fdf5b2f133eaca0b248dd92cdd2" ++"checksum blake2b_simd 0.5.8 (registry+https://github.com/rust-lang/crates.io-index)" = "5850aeee1552f495dd0250014cf64b82b7c8879a89d83b33bbdace2cc4f63182" ++"checksum buf_redux 0.8.4 (registry+https://github.com/rust-lang/crates.io-index)" = "b953a6887648bb07a535631f2bc00fbdb2a2216f135552cb3f534ed136b9c07f" ++"checksum bumpalo 2.6.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ad807f2fc2bf185eeb98ff3a901bd46dc5ad58163d0fa4577ba0d25674d71708" ++"checksum byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)" = "a7c3dd8985a7111efc5c80b44e23ecdd8c007de8ade3b96595387e812b957cf5" ++"checksum c2-chacha 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "7d64d04786e0f528460fc884753cf8dddcc466be308f6026f8e355c41a0e4101" ++"checksum cc 1.0.45 (registry+https://github.com/rust-lang/crates.io-index)" = "4fc9a35e1f4290eb9e5fc54ba6cf40671ed2a2514c3eeb2b2a908dda2ea5a1be" ++"checksum cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)" = "4785bdd1c96b2a846b2bd7cc02e86b6b3dbf14e7e53446c4f54c92a361040822" ++"checksum chrono 0.4.9 (registry+https://github.com/rust-lang/crates.io-index)" = "e8493056968583b0193c1bb04d6f7684586f3726992d6c573261941a895dbd68" ++"checksum chunked_transfer 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "498d20a7aaf62625b9bf26e637cf7736417cde1d0c99f1d04d1170229a85cf87" ++"checksum cloudabi 0.0.3 (registry+https://github.com/rust-lang/crates.io-index)" = "ddfc5b9aa5d4507acaf872de71051dfd0e309860e88966e1051e462a077aac4f" ++"checksum color_quant 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)" = "0dbbb57365263e881e805dc77d94697c9118fd94d8da011240555aa7b23445bd" ++"checksum console_error_panic_hook 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)" = "b8d976903543e0c48546a91908f21588a680a8c8f984df9a5d69feccb2b2a211" ++"checksum constant_time_eq 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)" = "995a44c877f9212528ccc74b21a232f66ad69001e40ede5bcee2ac9ef2657120" ++"checksum crossbeam-deque 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)" = "b18cd2e169ad86297e6bc0ad9aa679aee9daa4f19e8163860faf7c164e4f5a71" ++"checksum crossbeam-epoch 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)" = "fedcd6772e37f3da2a9af9bf12ebe046c0dfe657992377b4df982a2b54cd37a9" ++"checksum crossbeam-queue 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)" = "7c979cd6cfe72335896575c6b5688da489e420d36a27a0b9eb0c73db574b4a4b" ++"checksum crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)" = "04973fa96e96579258a5091af6003abde64af786b860f18622b82e026cca60e6" ++"checksum curl 0.4.24 (registry+https://github.com/rust-lang/crates.io-index)" = "d08ad3cb89d076a36b0ce5749eec2c9964f70c0c58480ab6b75a91ec4fc206d8" ++"checksum curl-sys 0.4.22 (registry+https://github.com/rust-lang/crates.io-index)" = "2e9a9a4e417722876332136a00cacf92c2ceb331fab4b52b6a1ad16c6cd79255" ++"checksum deflate 0.7.20 (registry+https://github.com/rust-lang/crates.io-index)" = "707b6a7b384888a70c8d2e8650b3e60170dfc6a67bb4aa67b6dfca57af4bedb4" ++"checksum difference 2.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "524cbf6897b527295dff137cec09ecf3a05f4fddffd7dfcd1585403449e74198" ++"checksum dirs 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)" = "3fd78930633bd1c6e35c4b42b1df7b0cbc6bc191146e512bb3bedf243fcc3901" ++"checksum docopt 1.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "7f525a586d310c87df72ebcd98009e57f1cc030c8c268305287a476beb653969" ++"checksum either 1.5.3 (registry+https://github.com/rust-lang/crates.io-index)" = "bb1f6b1ce1c140482ea30ddd3335fc0024ac7ee112895426e0a629a6c20adfe3" ++"checksum enum_primitive 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "be4551092f4d519593039259a9ed8daedf0da12e5109c5280338073eaeb81180" ++"checksum env_logger 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "39ecdb7dd54465526f0a56d666e3b2dd5f3a218665a030b6e4ad9e70fa95d8fa" ++"checksum escargot 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ceb9adbf9874d5d028b5e4c5739d22b71988252b25c9c98fe7cf9738bee84597" ++"checksum failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)" = "795bd83d3abeb9220f257e597aa0080a508b27533824adf336529648f6abf7e2" ++"checksum failure_derive 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)" = "ea1063915fd7ef4309e222a5a07cf9c319fb9c7836b1f89b85458672dbb127e1" ++"checksum filetime 0.2.7 (registry+https://github.com/rust-lang/crates.io-index)" = "6bd7380b54ced79dda72ecc35cc4fbbd1da6bba54afaa37e96fd1c2a308cd469" ++"checksum float-cmp 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "134a8fa843d80a51a5b77d36d42bc2def9edcb0262c914861d08129fd1926600" ++"checksum foreign-types 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)" = "f6f339eb8adc052cd2ca78910fda869aefa38d22d5cb648e6485e4d3fc06f3b1" ++"checksum foreign-types-shared 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b" ++"checksum fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "a06f77d526c1a601b7c4cdd98f54b5eaabffc14d5f2f0296febdc7f357c6d3ba" ++"checksum futures-channel-preview 0.3.0-alpha.19 (registry+https://github.com/rust-lang/crates.io-index)" = "d5e5f4df964fa9c1c2f8bddeb5c3611631cacd93baf810fc8bb2fb4b495c263a" ++"checksum futures-core-preview 0.3.0-alpha.19 (registry+https://github.com/rust-lang/crates.io-index)" = "b35b6263fb1ef523c3056565fa67b1d16f0a8604ff12b11b08c25f28a734c60a" ++"checksum getrandom 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)" = "473a1265acc8ff1e808cd0a1af8cee3c2ee5200916058a2ca113c29f2d903571" ++"checksum gif 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)" = "e2e41945ba23db3bf51b24756d73d81acb4f28d85c3dccc32c6fae904438c25f" ++"checksum glob 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)" = "9b919933a397b79c37e33b77bb2aa3dc8eb6e165ad809e58ff75bc7db2e34574" ++"checksum heck 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "20564e78d53d2bb135c343b3f47714a56af2061f1c928fdb541dc7b9fdd94205" ++"checksum httparse 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)" = "cd179ae861f0c2e53da70d892f5f3029f9594be0c41dc5269cd371691b1dc2f9" ++"checksum humantime 1.3.0 (registry+https://github.com/rust-lang/crates.io-index)" = "df004cfca50ef23c36850aaaa59ad52cc70d0e90243c3c7737a4dd32dc7a3c4f" ++"checksum id-arena 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "25a2bc672d1148e28034f176e01fffebb08b35768468cc954630da77a1449005" ++"checksum idna 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)" = "38f09e0f0b1fb55fdee1f17470ad800da77af5186a1a76c026b679358b7e844e" ++"checksum image 0.12.4 (registry+https://github.com/rust-lang/crates.io-index)" = "d95816db758249fe16f23a4e23f1a3a817fe11892dbfd1c5836f625324702158" ++"checksum inflate 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "e7e0062d2dc2f17d2f13750d95316ae8a2ff909af0fda957084f5defd87c43bb" ++"checksum itoa 0.4.4 (registry+https://github.com/rust-lang/crates.io-index)" = "501266b7edd0174f8530248f87f99c88fbe60ca4ef3dd486835b8d8d53136f7f" ++"checksum jpeg-decoder 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)" = "c1aae18ffeeae409c6622c3b6a7ee49792a7e5a062eea1b135fbb74e301792ba" ++"checksum lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646" ++"checksum leb128 0.2.4 (registry+https://github.com/rust-lang/crates.io-index)" = "3576a87f2ba00f6f106fdfcd16db1d698d648a26ad8e0573cad8537c3c362d2a" ++"checksum libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)" = "34fcd2c08d2f832f376f4173a231990fa5aef4e99fb569867318a227ef4c06ba" ++"checksum libz-sys 1.0.25 (registry+https://github.com/rust-lang/crates.io-index)" = "2eb5e43362e38e2bca2fd5f5134c4d4564a23a5c28e9b95411652021a8675ebe" ++"checksum log 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)" = "e19e8d5c34a3e0e2223db8e060f9e8264aeeb5c5fc64a4ee9965c062211c024b" ++"checksum log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)" = "14b6052be84e6b71ab17edffc2eeabf5c2c3ae1fdb464aae35ac50c67a44e1f7" ++"checksum lzw 0.10.0 (registry+https://github.com/rust-lang/crates.io-index)" = "7d947cbb889ed21c2a84be6ffbaebf5b4e0f4340638cba0444907e38b56be084" ++"checksum matches 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)" = "7ffc5c5338469d4d3ea17d269fa8ea3512ad247247c30bd2df69e68309ed0a08" ++"checksum memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "88579771288728879b57485cc7d6b07d648c9f0141eb955f8ab7f9d45394468e" ++"checksum memoffset 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)" = "ce6075db033bbbb7ee5a0bbd3a3186bbae616f57fb001c485c7ff77955f8177f" ++"checksum mime 0.2.6 (registry+https://github.com/rust-lang/crates.io-index)" = "ba626b8a6de5da682e1caa06bdb42a335aee5a84db8e5046a3e8ab17ba0a3ae0" ++"checksum mime_guess 1.8.7 (registry+https://github.com/rust-lang/crates.io-index)" = "0d977de9ee851a0b16e932979515c0f3da82403183879811bc97d50bd9cc50f7" ++"checksum multipart 0.15.4 (registry+https://github.com/rust-lang/crates.io-index)" = "adba94490a79baf2d6a23eac897157047008272fa3eecb3373ae6377b91eca28" ++"checksum nodrop 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)" = "2f9667ddcc6cc8a43afc9b7917599d7216aa09c463919ea32c59ed6cac8bc945" ++"checksum nom 4.2.3 (registry+https://github.com/rust-lang/crates.io-index)" = "2ad2a91a8e869eeb30b9cb3119ae87773a8f4ae617f41b1eb9c154b2905f7bd6" ++"checksum normalize-line-endings 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "2e0a1a39eab95caf4f5556da9289b9e68f0aafac901b2ce80daaf020d3b733a8" ++"checksum num-integer 0.1.41 (registry+https://github.com/rust-lang/crates.io-index)" = "b85e541ef8255f6cf42bbfe4ef361305c6c135d10919ecc26126c4e5ae94bc09" ++"checksum num-iter 0.1.39 (registry+https://github.com/rust-lang/crates.io-index)" = "76bd5272412d173d6bf9afdf98db8612bbabc9a7a830b7bfc9c188911716132e" ++"checksum num-rational 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)" = "ee314c74bd753fc86b4780aa9475da469155f3848473a261d2d18e35245a784e" ++"checksum num-traits 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)" = "92e5113e9fd4cc14ded8e499429f396a20f98c772a47cc8622a736e1ec843c31" ++"checksum num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)" = "6ba9a427cfca2be13aa6f6403b0b7e7368fe982bfa16fccc450ce74c46cd9b32" ++"checksum num_cpus 1.10.1 (registry+https://github.com/rust-lang/crates.io-index)" = "bcef43580c035376c0705c42792c294b66974abbfd2789b511784023f71f3273" ++"checksum openssl 0.10.25 (registry+https://github.com/rust-lang/crates.io-index)" = "2f372b2b53ce10fb823a337aaa674e3a7d072b957c6264d0f4ff0bd86e657449" ++"checksum openssl-probe 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)" = "77af24da69f9d9341038eba93a073b1fdaaa1b788221b00a69bce9e762cb32de" ++"checksum openssl-src 111.6.0+1.1.1d (registry+https://github.com/rust-lang/crates.io-index)" = "b9c2da1de8a7a3f860919c01540b03a6db16de042405a8a07a5e9d0b4b825d9c" ++"checksum openssl-sys 0.9.50 (registry+https://github.com/rust-lang/crates.io-index)" = "2c42dcccb832556b5926bc9ae61e8775f2a61e725ab07ab3d1e7fcf8ae62c3b6" ++"checksum percent-encoding 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)" = "31010dd2e1ac33d5b46a5b413495239882813e0369f8ed8a5e266f173602f831" ++"checksum phf 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)" = "b3da44b85f8e8dfaec21adae67f95d93244b2ecf6ad2a692320598dcc8e6dd18" ++"checksum phf_codegen 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)" = "b03e85129e324ad4166b06b2c7491ae27fe3ec353af72e72cd1654c7225d517e" ++"checksum phf_generator 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)" = "09364cc93c159b8b06b1f4dd8a4398984503483891b0c26b867cf431fb132662" ++"checksum phf_shared 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)" = "234f71a15de2288bcb7e3b6515828d22af7ec8598ee6d24c3b526fa0a80b67a0" ++"checksum pkg-config 0.3.16 (registry+https://github.com/rust-lang/crates.io-index)" = "72d5370d90f49f70bd033c3d75e87fc529fbfff9d6f7cccef07d6170079d91ea" ++"checksum png 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)" = "3cb773e9a557edb568ce9935cf783e3cdcabe06a9449d41b3e5506d88e582c82" ++"checksum ppv-lite86 0.2.5 (registry+https://github.com/rust-lang/crates.io-index)" = "e3cbf9f658cdb5000fcf6f362b8ea2ba154b9f146a61c7a20d647034c6b6561b" ++"checksum predicates 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)" = "53e09015b0d3f5a0ec2d4428f7559bb7b3fff341b4e159fedd1d57fac8b939ff" ++"checksum predicates-core 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "06075c3a3e92559ff8929e7a280684489ea27fe44805174c3ebd9328dcb37178" ++"checksum predicates-tree 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "8e63c4859013b38a76eca2414c64911fba30def9e3202ac461a2d22831220124" ++"checksum proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)" = "cf3d2011ab5c909338f7887f4fc896d35932e29146c12c8d01da6b22a80ba759" ++"checksum proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)" = "afdc77cc74ec70ed262262942ebb7dac3d479e9e5cfa2da1841c0806f6cdabcc" ++"checksum quick-error 1.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "9274b940887ce9addde99c4eee6b5c44cc494b182b97e73dc8ffdcb3397fd3f0" ++"checksum quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)" = "6ce23b6b870e8f94f81fb0a363d65d86675884b34a09043c81e5562f11c1f8e1" ++"checksum quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)" = "053a8c8bcc71fcce321828dc897a98ab9760bef03a4fc36693c231e5b3216cfe" ++"checksum rand 0.4.6 (registry+https://github.com/rust-lang/crates.io-index)" = "552840b97013b1a26992c11eac34bdd778e464601a4c2054b5f0bff7c6761293" ++"checksum rand 0.5.6 (registry+https://github.com/rust-lang/crates.io-index)" = "c618c47cd3ebd209790115ab837de41425723956ad3ce2e6a7f09890947cacb9" ++"checksum rand 0.6.5 (registry+https://github.com/rust-lang/crates.io-index)" = "6d71dacdc3c88c1fde3885a3be3fbab9f35724e6ce99467f7d9c5026132184ca" ++"checksum rand 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)" = "3ae1b169243eaf61759b8475a998f0a385e42042370f3a7dbaf35246eacc8412" ++"checksum rand_chacha 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "556d3a1ca6600bfcbab7c7c91ccb085ac7fbbcd70e008a98742e7847f4f7bcef" ++"checksum rand_chacha 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "03a2a90da8c7523f554344f921aa97283eadf6ac484a6d2a7d0212fa7f8d6853" ++"checksum rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "7a6fdeb83b075e8266dcc8762c22776f6877a63111121f5f8c7411e5be7eed4b" ++"checksum rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)" = "9c33a3c44ca05fa6f1807d8e6743f3824e8509beca625669633be0acbdf509dc" ++"checksum rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)" = "90bde5296fc891b0cef12a6d03ddccc162ce7b2aff54160af9338f8d40df6d19" ++"checksum rand_hc 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "7b40677c7be09ae76218dc623efbf7b18e34bced3f38883af07bb75630a21bc4" ++"checksum rand_hc 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ca3129af7b92a17112d59ad498c6f81eaf463253766b90396d39ea7a39d6613c" ++"checksum rand_isaac 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "ded997c9d5f13925be2a6fd7e66bf1872597f759fd9dd93513dd7e92e5a5ee08" ++"checksum rand_jitter 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)" = "1166d5c91dc97b88d1decc3285bb0a99ed84b05cfd0bc2341bdf2d43fc41e39b" ++"checksum rand_os 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)" = "7b75f676a1e053fc562eafbb47838d67c84801e38fc1ba459e8f180deabd5071" ++"checksum rand_pcg 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)" = "abf9b09b01790cfe0364f52bf32995ea3c39f4d2dd011eac241d2914146d0b44" ++"checksum rand_xorshift 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "cbf7e9e623549b0e21f6e97cf8ecf247c1a8fd2e8a992ae265314300b2455d5c" ++"checksum rayon 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "83a27732a533a1be0a0035a111fe76db89ad312f6f0347004c220c57f209a123" ++"checksum rayon-core 1.6.0 (registry+https://github.com/rust-lang/crates.io-index)" = "98dcf634205083b17d0861252431eb2acbfb698ab7478a2d20de07954f47ec7b" ++"checksum raytracer 0.1.0 (git+https://github.com/alexcrichton/raytracer?branch=update-deps)" = "<none>" ++"checksum rdrand 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "678054eb77286b51581ba43620cc911abf02758c91f93f479767aed0f90458b2" ++"checksum redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)" = "2439c63f3f6139d1b57529d16bc3b8bb855230c8efcc5d3a896c8bea7c3b1e84" ++"checksum redox_users 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "4ecedbca3bf205f8d8f5c2b44d83cd0690e39ee84b951ed649e9f1841132b66d" ++"checksum regex 1.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "dc220bd33bdce8f093101afe22a037b8eb0e5af33592e6a9caafff0d4cb81cbd" ++"checksum regex-syntax 0.6.12 (registry+https://github.com/rust-lang/crates.io-index)" = "11a7e20d1cce64ef2fed88b66d347f88bd9babb82845b2b858f3edbf59a4f716" ++"checksum remove_dir_all 0.5.2 (registry+https://github.com/rust-lang/crates.io-index)" = "4a83fa3702a688b9359eccba92d153ac33fd2e8462f9e0e3fdf155239ea7792e" ++"checksum rouille 3.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "112568052ec17fa26c6c11c40acbb30d3ad244bf3d6da0be181f5e7e42e5004f" ++"checksum rust-argon2 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)" = "4ca4eaef519b494d1f2848fc602d18816fed808a981aedf4f1f00ceb7c9d32cf" ++"checksum rustc-demangle 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)" = "4c691c0e608126e00913e33f0ccf3727d5fc84573623b8d65b2df340b5201783" ++"checksum rustc_version 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)" = "138e3e0acb6c9fb258b19b67cb8abd63c00679d2851805ea151465464fe9030a" ++"checksum ryu 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "c92464b447c0ee8c4fb3824ecc8383b81717b9f1e74ba2e72540aef7b9f82997" ++"checksum safemem 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)" = "d2b08423011dae9a5ca23f07cf57dac3857f5c885d352b76f6d95f4aea9434d0" ++"checksum schannel 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)" = "87f550b06b6cba9c8b8be3ee73f391990116bf527450d2556e9b9ce263b9a021" ++"checksum scoped-tls 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ea6a9290e3c9cf0f18145ef7ffa62d68ee0bf5fcd651017e586dc7fd5da448c2" ++"checksum scoped_threadpool 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)" = "1d51f5df5af43ab3f1360b429fa5e0152ac5ce8c0bd6485cae490332e96846a8" ++"checksum scopeguard 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "b42e15e59b18a828bbf5c58ea01debb36b9b096346de35d941dcb89009f24a0d" ++"checksum semver 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)" = "1d7eb9ef2c18661902cc47e535f9bc51b78acd254da71d375c2f6720d9a40403" ++"checksum semver-parser 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "388a1df253eca08550bef6c72392cfe7c30914bf41df5269b68cbd6ff8f570a3" ++"checksum serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)" = "9796c9b7ba2ffe7a9ce53c2287dfc48080f4b2b362fcc245a259b3a7201119dd" ++"checksum serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)" = "4b133a43a1ecd55d4086bd5b4dc6c1751c68b1bfbeba7a5040442022c7e7c02e" ++"checksum serde_json 1.0.40 (registry+https://github.com/rust-lang/crates.io-index)" = "051c49229f282f7c6f3813f8286cc1e3323e8051823fce42c7ea80fe13521704" ++"checksum sha1 0.6.0 (registry+https://github.com/rust-lang/crates.io-index)" = "2579985fda508104f7587689507983eadd6a6e84dd35d6d115361f530916fa0d" ++"checksum siphasher 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)" = "0b8de496cf83d4ed58b6be86c3a275b8602f6ffe98d3024a869e124147a9a3ac" ++"checksum smallvec 0.6.10 (registry+https://github.com/rust-lang/crates.io-index)" = "ab606a9c5e214920bb66c458cd7be8ef094f813f20fe77a54cc7dbfff220d4b7" ++"checksum socket2 0.3.11 (registry+https://github.com/rust-lang/crates.io-index)" = "e8b74de517221a2cb01a53349cf54182acdc31a074727d3079068448c0676d85" ++"checksum sourcefile 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)" = "4bf77cb82ba8453b42b6ae1d692e4cdc92f9a47beaf89a847c8be83f4e328ad3" ++"checksum strsim 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)" = "032c03039aae92b350aad2e3779c352e104d919cb192ba2fabbd7b831ce4f0f6" ++"checksum syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)" = "9ca4b3b69a77cbe1ffc9e198781b7acb0c7365a883670e8f1c1bc66fba79a5c5" ++"checksum syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)" = "66850e97125af79138385e9b88339cbcd037e3f28ceab8c5ad98e64f0f1f80bf" ++"checksum synstructure 0.10.2 (registry+https://github.com/rust-lang/crates.io-index)" = "02353edf96d6e4dc81aea2d8490a7e9db177bf8acb0e951c24940bf866cb313f" ++"checksum tempdir 0.3.7 (registry+https://github.com/rust-lang/crates.io-index)" = "15f2b5fb00ccdf689e0149d1b1b3c03fead81c2b37735d812fa8bddbbf41b6d8" ++"checksum tempfile 3.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "7a6e24d9338a0a5be79593e2fa15a648add6138caa803e2d5bc782c371732ca9" ++"checksum term 0.5.2 (registry+https://github.com/rust-lang/crates.io-index)" = "edd106a334b7657c10b7c540a0106114feadeb4dc314513e97df481d5d966f42" ++"checksum termcolor 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)" = "96d6098003bde162e4277c70665bd87c326f5a0c3f3fbfb285787fa482d54e6e" ++"checksum thread_local 0.3.6 (registry+https://github.com/rust-lang/crates.io-index)" = "c6b53e329000edc2b34dbe8545fd20e55a333362d0a321909685a19bd28c3f1b" ++"checksum threadpool 1.7.1 (registry+https://github.com/rust-lang/crates.io-index)" = "e2f0c90a5f3459330ac8bc0d2f879c693bb7a2f59689c1083fc4ef83834da865" ++"checksum time 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)" = "db8dcfca086c1143c9270ac42a2bbd8a7ee477b78ac8e45b19abfb0cbede4b6f" ++"checksum tiny_http 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)" = "1661fa0a44c95d01604bd05c66732a446c657efb62b5164a7a083a3b552b4951" ++"checksum toml 0.4.10 (registry+https://github.com/rust-lang/crates.io-index)" = "758664fc71a3a69038656bee8b6be6477d2a6c315a6b81f7081f591bffa4111f" ++"checksum toml 0.5.3 (registry+https://github.com/rust-lang/crates.io-index)" = "c7aabe75941d914b72bf3e5d3932ed92ce0664d49d8432305a8b547c37227724" ++"checksum treeline 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "a7f741b240f1a48843f9b8e0444fb55fb2a4ff67293b50a9179dfd5ea67f8d41" ++"checksum trybuild 1.0.16 (registry+https://github.com/rust-lang/crates.io-index)" = "10d8f366221c5a5ff8a62faa005e186fdce758949d34a9140b64a062951bae68" ++"checksum twoway 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)" = "59b11b2b5241ba34be09c3cc85a36e56e48f9888862e19cedf23336d35316ed1" ++"checksum unicase 1.4.2 (registry+https://github.com/rust-lang/crates.io-index)" = "7f4765f83163b74f957c797ad9253caf97f103fb064d3999aea9568d09fc8a33" ++"checksum unicode-bidi 0.3.4 (registry+https://github.com/rust-lang/crates.io-index)" = "49f2bd0c6468a8230e1db229cff8029217cf623c767ea5d60bfbd42729ea54d5" ++"checksum unicode-normalization 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)" = "141339a08b982d942be2ca06ff8b076563cbe223d1befd5450716790d44e2426" ++"checksum unicode-segmentation 1.3.0 (registry+https://github.com/rust-lang/crates.io-index)" = "1967f4cdfc355b37fd76d2a954fb2ed3871034eb4f26d60537d88795cfc332a9" ++"checksum unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "fc72304796d0818e357ead4e000d19c9c174ab23dc11093ac919054d20a6a7fc" ++"checksum unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "826e7639553986605ec5979c7dd957c7895e93eabed50ab2ffa7f6128a75097c" ++"checksum url 1.7.2 (registry+https://github.com/rust-lang/crates.io-index)" = "dd4e7c0d531266369519a4aa4f399d748bd37043b00bde1e4ff1f60a120b355a" ++"checksum vcpkg 0.2.7 (registry+https://github.com/rust-lang/crates.io-index)" = "33dd455d0f96e90a75803cfeb7f948768c08d70a6de9a8d2362461935698bf95" ++"checksum version_check 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)" = "914b1a6776c4c929a602fafd8bc742e06365d4bcbe48c30f9cca5824f70dc9dd" ++"checksum walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)" = "e6f3bd9559eb5b59d55cc60986e26dc9b3f64377d0b9495e41abd9ede9a6443f" ++"checksum walrus-macro 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)" = "0464a6e0d86be4c95c8c838bcb1910df831e1216a9586feeb02478cd52c4e554" ++"checksum wasi 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "b89c3ce4ce14bdc6fb6beaf9ec7928ca331de5df7e5ea278375642a2f478570d" ++"checksum wasm-webidl-bindings 0.5.0 (registry+https://github.com/rust-lang/crates.io-index)" = "e407ee3155cb0742acacd0b21060daafe2ad78ea718f2d6b10e7d9d1032aa961" ++"checksum wasmparser 0.37.2 (registry+https://github.com/rust-lang/crates.io-index)" = "f7387ba67c13dd9cd01d7d961e733375aee889f828564e190da85b5602eb5eeb" ++"checksum weedle 0.10.0 (registry+https://github.com/rust-lang/crates.io-index)" = "3bb43f70885151e629e2a19ce9e50bd730fd436cfd4b666894c9ce4de9141164" ++"checksum winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)" = "8093091eeb260906a183e6ae1abdba2ef5ef2257a21801128899c3fc699229c6" ++"checksum winapi-i686-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6" ++"checksum winapi-util 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)" = "7168bab6e1daee33b4557efd0e95d5ca70a03706d39fa5f3fe7a236f584b03c9" ++"checksum winapi-x86_64-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f" ++"checksum wincolor 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)" = "96f5016b18804d24db43cebf3c77269e7569b8954a8464501c216cc5e070eaa9" +-- +2.23.0 + diff --git a/nixpkgs/pkgs/development/tools/wasm-bindgen-cli/default.nix b/nixpkgs/pkgs/development/tools/wasm-bindgen-cli/default.nix new file mode 100644 index 00000000000..2fd30ecc6b1 --- /dev/null +++ b/nixpkgs/pkgs/development/tools/wasm-bindgen-cli/default.nix @@ -0,0 +1,28 @@ +{ rustPlatform, fetchFromGitHub, lib, openssl, pkgconfig, stdenv, curl, Security, ... }: + +rustPlatform.buildRustPackage rec { + pname = "wasm-bindgen-cli"; + version = "0.2.51"; + + src = fetchFromGitHub { + owner = "rustwasm"; + repo = "wasm-bindgen"; + rev = version; + sha256 = "1pfkwak11k3ghvv985c20vhg4cyvf131a1f7k3sv5snw2klww7vm"; + }; + + buildInputs = [ openssl ] ++ lib.optionals stdenv.isDarwin [ Security curl ]; + nativeBuildInputs = [ pkgconfig ]; + + cargoSha256 = "06zk2yzwpl569q5mgn56gprsfxxvyz4a2i949lls13daq1kal5pa"; + cargoPatches = [ ./0001-Add-cargo.lock-for-rustPlatform.buildRustPackage-in-.patch ]; + cargoBuildFlags = [ "-p" pname ]; + + meta = with lib; { + homepage = https://rustwasm.github.io/docs/wasm-bindgen/; + license = licenses.asl20; + description = "Facilitating high-level interactions between wasm modules and JavaScript"; + maintainers = with maintainers; [ ma27 ]; + platforms = platforms.unix; + }; +} diff --git a/nixpkgs/pkgs/development/web/nodejs/disable-libatomic-darwin.patch b/nixpkgs/pkgs/development/web/nodejs/disable-libatomic-darwin.patch new file mode 100644 index 00000000000..d6ac38138b8 --- /dev/null +++ b/nixpkgs/pkgs/development/web/nodejs/disable-libatomic-darwin.patch @@ -0,0 +1,11 @@ +--- a/node.gyp ++++ b/node.gyp +@@ -289,7 +289,7 @@ + '-Wl,-bnoerrmsg', + ], + }], +- ['(OS=="linux" or OS=="mac") and llvm_version!=0', { ++ ['OS=="linux" and llvm_version!=0', { + 'libraries': ['-latomic'], + }], + ], diff --git a/nixpkgs/pkgs/development/web/nodejs/v12.nix b/nixpkgs/pkgs/development/web/nodejs/v12.nix index ae74764384c..a4e5edd77a4 100644 --- a/nixpkgs/pkgs/development/web/nodejs/v12.nix +++ b/nixpkgs/pkgs/development/web/nodejs/v12.nix @@ -1,10 +1,12 @@ -{ callPackage, openssl, icu, enableNpm ? true }: +{ stdenv, callPackage, openssl, icu, enableNpm ? true }: let buildNodejs = callPackage ./nodejs.nix { inherit openssl icu; }; in buildNodejs { inherit enableNpm; - version = "12.5.0"; - sha256 = "08haqs104lw44l92bxfii18sdn7y1k07cz3p0ni9bhw7kh4vf5c7"; + version = "12.12.0"; + sha256 = "1hcc9arbc6vpzjvf3sd6alm2rc18x3ay2p0i36wz2r4pp9d8gynr"; + + patches = stdenv.lib.optionals stdenv.isDarwin [ ./disable-libatomic-darwin.patch ]; } |