diff options
author | Katharina Fey <kookie@spacekookie.de> | 2019-10-23 12:12:59 +0000 |
---|---|---|
committer | Katharina Fey <kookie@spacekookie.de> | 2019-10-23 12:12:59 +0000 |
commit | 3547597c8c5db5e40e66119587777910e780da3d (patch) | |
tree | d5f54a723ee6bb380b918cea195762d271a98ba0 /nixpkgs/pkgs | |
parent | 0f74f62ee25ac2d21bd67c29b8efc3ad079a72a8 (diff) | |
parent | f35f0880f2cdbc8c1bc81492811251f120d7a9bc (diff) |
Merge commit 'f35f0880f2cdbc8c1bc81492811251f120d7a9bc' into bump-nixpkgs
Diffstat (limited to 'nixpkgs/pkgs')
855 files changed, 15885 insertions, 7709 deletions
diff --git a/nixpkgs/pkgs/applications/audio/clementine/default.nix b/nixpkgs/pkgs/applications/audio/clementine/default.nix index f48a429b389..0a374c26142 100644 --- a/nixpkgs/pkgs/applications/audio/clementine/default.nix +++ b/nixpkgs/pkgs/applications/audio/clementine/default.nix @@ -28,6 +28,11 @@ let url = "https://github.com/clementine-player/Clementine/pull/5630.patch"; sha256 = "0px7xp1m4nvrncx8sga1qlxppk562wrk2qqk19iiry84nxg20mk4"; }) + (fetchpatch { + # Fixes compilation with chromaprint >= 1.4 + url = "https://github.com/clementine-player/Clementine/commit/d3ea0c8482dfd3f6264a30cfceb456076d76e6cd.patch"; + sha256 = "1ifrs5aqdzw16jbnf0z1ilir20chdnr9k5n21r99miq9hzjpbh12"; + }) ]; nativeBuildInputs = [ cmake pkgconfig ]; diff --git a/nixpkgs/pkgs/applications/audio/drumkv1/default.nix b/nixpkgs/pkgs/applications/audio/drumkv1/default.nix index 8f1f79169d7..604fe4c4ab7 100644 --- a/nixpkgs/pkgs/applications/audio/drumkv1/default.nix +++ b/nixpkgs/pkgs/applications/audio/drumkv1/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { pname = "drumkv1"; - version = "0.9.9"; + version = "0.9.10"; src = fetchurl { url = "mirror://sourceforge/drumkv1/${pname}-${version}.tar.gz"; - sha256 = "02sa29fdjgwcf7izly685gxvga3bxyyqvskvfiisgm2xg3h9r983"; + sha256 = "0h08r6vq23dlnag67fcfcpx83wampx4fag82v4bgkqg2sdh64p3n"; }; buildInputs = [ libjack2 alsaLib libsndfile liblo lv2 qt5.qtbase qt5.qttools ]; diff --git a/nixpkgs/pkgs/applications/audio/fluidsynth/default.nix b/nixpkgs/pkgs/applications/audio/fluidsynth/default.nix index bb86787a3cf..8e2895bf847 100644 --- a/nixpkgs/pkgs/applications/audio/fluidsynth/default.nix +++ b/nixpkgs/pkgs/applications/audio/fluidsynth/default.nix @@ -11,8 +11,8 @@ let sha256 = "0n75jq3xgq46hfmjkaaxz3gic77shs4fzajq40c8gk043i84xbdh"; }; "2" = { - fluidsynthVersion = "2.0.5"; - sha256 = "0rv0apxbj0cgm8f8sqf5xr6kdi4q58ph92ip6cg716ha0ca5lr8y"; + fluidsynthVersion = "2.0.6"; + sha256 = "0nas9pp9r8rnziznxm65x2yzf1ryg98zr3946g0br3s38sjf8l3a"; }; }; in diff --git a/nixpkgs/pkgs/applications/audio/lollypop/default.nix b/nixpkgs/pkgs/applications/audio/lollypop/default.nix index c967576a5aa..df3d788f51f 100644 --- a/nixpkgs/pkgs/applications/audio/lollypop/default.nix +++ b/nixpkgs/pkgs/applications/audio/lollypop/default.nix @@ -11,7 +11,6 @@ , appstream-glib , desktop-file-utils , totem-pl-parser -, hicolor-icon-theme , gobject-introspection , wrapGAppsHook , lastFMSupport ? true @@ -51,7 +50,6 @@ python3.pkgs.buildPythonApplication rec { gst-plugins-ugly gstreamer gtk3 - hicolor-icon-theme libsoup totem-pl-parser ] ++ lib.optional lastFMSupport libsecret; diff --git a/nixpkgs/pkgs/applications/audio/mopidy/iris.nix b/nixpkgs/pkgs/applications/audio/mopidy/iris.nix index 90b81e1163d..22cef5414f8 100644 --- a/nixpkgs/pkgs/applications/audio/mopidy/iris.nix +++ b/nixpkgs/pkgs/applications/audio/mopidy/iris.nix @@ -2,11 +2,11 @@ pythonPackages.buildPythonApplication rec { pname = "Mopidy-Iris"; - version = "3.40.0"; + version = "3.41.1"; src = pythonPackages.fetchPypi { inherit pname version; - sha256 = "1cn68zmyvig114dsw7vhx761v9c1za3wnbq4y2z0f0cbl958n49v"; + sha256 = "1bdcxsvb756rchyp9cj1y5x1w0w6p2hp7grgar5c4cyh3kshc2kj"; }; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/applications/audio/ncspot/default.nix b/nixpkgs/pkgs/applications/audio/ncspot/default.nix new file mode 100644 index 00000000000..63ae9278c5d --- /dev/null +++ b/nixpkgs/pkgs/applications/audio/ncspot/default.nix @@ -0,0 +1,43 @@ +{ lib, fetchFromGitHub, rustPlatform, pkg-config, ncurses, openssl +, withALSA ? true, alsaLib ? null +, withPulseAudio ? false, libpulseaudio ? null +, withPortAudio ? false, portaudio ? null +}: + +let + features = [ "cursive/pancurses-backend" ] + ++ lib.optional withALSA "alsa_backend" + ++ lib.optional withPulseAudio "pulseaudio_backend" + ++ lib.optional withPortAudio "portaudio_backend"; +in +rustPlatform.buildRustPackage rec { + pname = "ncspot-unstable"; + version = "2019-10-12"; + + src = fetchFromGitHub { + owner = "hrkfdn"; + repo = "ncspot"; + rev = "4defded54646958268a20787917e4721ae96407d"; + sha256 = "1w3cmilwjzk3pfnq97qgz6hzxgjgi27dm8jq7maw87qyl3v17gyg"; + }; + + cargoSha256 = "1w1fk39pragfy2i2myw99mqf63w6fw4nr2kri8ily2iqc6g9xpgw"; + + cargoBuildFlags = [ "--no-default-features" "--features" "${lib.concatStringsSep "," features}" ]; + + nativeBuildInputs = [ pkg-config ]; + + buildInputs = [ ncurses openssl ] + ++ lib.optional withALSA alsaLib + ++ lib.optional withPulseAudio libpulseaudio + ++ lib.optional withPortAudio portaudio; + + doCheck = false; + + meta = with lib; { + description = "Cross-platform ncurses Spotify client written in Rust, inspired by ncmpc and the likes"; + homepage = "https://github.com/hrkfdn/ncspot"; + license = licenses.bsd2; + maintainers = [ maintainers.marsam ]; + }; +} diff --git a/nixpkgs/pkgs/applications/audio/pulseeffects/default.nix b/nixpkgs/pkgs/applications/audio/pulseeffects/default.nix index 2a68f9a64f2..302dd5c8e69 100644 --- a/nixpkgs/pkgs/applications/audio/pulseeffects/default.nix +++ b/nixpkgs/pkgs/applications/audio/pulseeffects/default.nix @@ -32,7 +32,6 @@ , rubberband , mda_lv2 , lsp-plugins -, hicolor-icon-theme }: let @@ -86,7 +85,6 @@ in stdenv.mkDerivation rec { dbus fftwFloat zita-convolver - hicolor-icon-theme ]; postPatch = '' diff --git a/nixpkgs/pkgs/applications/audio/reaper/default.nix b/nixpkgs/pkgs/applications/audio/reaper/default.nix index 9cff98f6137..4a6cdc44f44 100644 --- a/nixpkgs/pkgs/applications/audio/reaper/default.nix +++ b/nixpkgs/pkgs/applications/audio/reaper/default.nix @@ -6,11 +6,11 @@ stdenv.mkDerivation rec { pname = "reaper"; - version = "5.981"; + version = "5.983"; src = fetchurl { url = "https://www.reaper.fm/files/${stdenv.lib.versions.major version}.x/reaper${builtins.replaceStrings ["."] [""] version}_linux_x86_64.tar.xz"; - sha256 = "0v4347i0pgzlinas4431dfbv1h9fk6vihvahh73valxvhydyxr8q"; + sha256 = "16xw3gsxgjfdxd1ldm8zxd48qh6lgxacnj9yjryy0brhw51dw1q4"; }; nativeBuildInputs = [ autoPatchelfHook makeWrapper ]; diff --git a/nixpkgs/pkgs/applications/audio/setbfree/default.nix b/nixpkgs/pkgs/applications/audio/setbfree/default.nix index 9502cb7b7e7..cd8079e31dc 100644 --- a/nixpkgs/pkgs/applications/audio/setbfree/default.nix +++ b/nixpkgs/pkgs/applications/audio/setbfree/default.nix @@ -1,17 +1,17 @@ -{ stdenv, fetchurl, alsaLib, freetype, ftgl, libjack2, libX11, lv2 +{ stdenv, fetchzip, alsaLib, freetype, ftgl, libjack2, libX11, lv2 , libGLU_combined, pkgconfig, ttf_bitstream_vera }: stdenv.mkDerivation rec { pname = "setbfree"; - version = "0.8.8"; + version = "0.8.9"; - src = fetchurl { + src = fetchzip { url = "https://github.com/pantherb/setBfree/archive/v${version}.tar.gz"; - sha256 = "1ldxwds99azingkjh246kz7x3j7307jhr0fls5rjjbcfchpg7v99"; + sha256 = "097bby2da47zlkaqy2jl8j6q0h5pxaq67lz473ygadqs5ic3nhc1"; }; - patchPhase = '' + postPatch = '' sed 's#/usr/local#$(out)#g' -i common.mak sed 's#/usr/share/fonts/truetype/ttf-bitstream-vera#${ttf_bitstream_vera}/share/fonts/truetype#g' \ -i b_synth/Makefile @@ -25,9 +25,9 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "A DSP tonewheel organ emulator"; - homepage = http://setbfree.org; + homepage = "http://setbfree.org"; license = licenses.gpl2; - platforms = platforms.linux; + platforms = [ "x86_64-linux" "i686-linux" ]; # fails on ARM and Darwin maintainers = [ maintainers.goibhniu ]; }; } diff --git a/nixpkgs/pkgs/applications/audio/spotify-tui/default.nix b/nixpkgs/pkgs/applications/audio/spotify-tui/default.nix index 14c9a88d4e4..5c681eac990 100644 --- a/nixpkgs/pkgs/applications/audio/spotify-tui/default.nix +++ b/nixpkgs/pkgs/applications/audio/spotify-tui/default.nix @@ -2,13 +2,13 @@ rustPlatform.buildRustPackage rec { pname = "spotify-tui"; - version = "0.5.0"; - + version = "0.6.2"; + src = fetchFromGitHub { owner = "Rigellute"; repo = "spotify-tui"; - rev = "v${version}"; - sha256 = "1spnr67fb2wjjf9sfkk3vps6q45w0zrk47an79bhv4imziy4dbs3"; + rev = "v${version}"; + sha256 = "0ksrdavnvjpph7h0lcc2hvxhygfbn0dmsabq2ilslvpa80ph2c53"; }; cargoSha256 = "029g80mcqvmckszpbzm4hxs5w63n41ah4rc1b93i9c1nzvncd811"; diff --git a/nixpkgs/pkgs/applications/audio/yoshimi/default.nix b/nixpkgs/pkgs/applications/audio/yoshimi/default.nix index 0936fe5a8ed..74a59c0e963 100644 --- a/nixpkgs/pkgs/applications/audio/yoshimi/default.nix +++ b/nixpkgs/pkgs/applications/audio/yoshimi/default.nix @@ -6,11 +6,11 @@ assert stdenv ? glibc; stdenv.mkDerivation rec { pname = "yoshimi"; - version = "1.5.11.3"; + version = "1.6.0.1"; src = fetchurl { url = "mirror://sourceforge/yoshimi/${pname}-${version}.tar.bz2"; - sha256 = "00w0ll94dpss9f1rnaxjmw6mgjx5q2dz8w4mc3wyrk4s4gbd7154"; + sha256 = "140f2k4akj39pny8c7i794q125415gyvmy4rday0il5ncp3glik4"; }; buildInputs = [ diff --git a/nixpkgs/pkgs/applications/blockchains/bitcoin-abc.nix b/nixpkgs/pkgs/applications/blockchains/bitcoin-abc.nix index cd07a57cba7..5bdfc39e0aa 100644 --- a/nixpkgs/pkgs/applications/blockchains/bitcoin-abc.nix +++ b/nixpkgs/pkgs/applications/blockchains/bitcoin-abc.nix @@ -7,13 +7,13 @@ with stdenv.lib; stdenv.mkDerivation rec { name = "bitcoin" + (toString (optional (!withGui) "d")) + "-abc-" + version; - version = "0.20.2"; + version = "0.20.3"; src = fetchFromGitHub { owner = "bitcoin-ABC"; repo = "bitcoin-abc"; rev = "v${version}"; - sha256 = "1hii6wjz6095jpy5kw7z6i3fn2jf1dvsppf162xx2c08n9vmz3s3"; + sha256 = "1m0k685czpywmkzhzfa09jc0hvmh7rk5rywwlq2chxz50pzm2m3a"; }; patches = [ ./fix-bitcoin-qt-build.patch ]; diff --git a/nixpkgs/pkgs/applications/blockchains/jormungandr/default.nix b/nixpkgs/pkgs/applications/blockchains/jormungandr/default.nix index 846e81e5e80..c2789c78542 100644 --- a/nixpkgs/pkgs/applications/blockchains/jormungandr/default.nix +++ b/nixpkgs/pkgs/applications/blockchains/jormungandr/default.nix @@ -10,16 +10,16 @@ rustPlatform.buildRustPackage rec { pname = "jormungandr"; - version = "0.5.6"; + version = "0.6.5"; src = fetchgit { url = "https://github.com/input-output-hk/${pname}"; - rev = "v${version}+lock"; - sha256 = "0l6rxr1xjp5hfkf0qfx8qsa1slxn0ly28akci1rwgdhlzjn43zqr"; + rev = "v${version}"; + sha256 = "16s6ks63194w35xlgzbhjdb3h606hkj049bap52sd6qf637bw2p7"; fetchSubmodules = true; }; - cargoSha256 = "0590gsghr25bzfmxfyrpg58a0l77y88jwnrkgjxf06x3d66kkn3l"; + cargoSha256 = "1kba65rnm2vyqsjhcnfwy1m44x1w3xxlzinykmb89jy6qr8gvp42"; nativeBuildInputs = [ pkgconfig protobuf ]; buildInputs = [ openssl ] ++ lib.optionals stdenv.isDarwin [ darwin.apple_sdk.frameworks.Security ]; diff --git a/nixpkgs/pkgs/applications/display-managers/lightdm/default.nix b/nixpkgs/pkgs/applications/display-managers/lightdm/default.nix index 1df67578b3a..4d221e97099 100644 --- a/nixpkgs/pkgs/applications/display-managers/lightdm/default.nix +++ b/nixpkgs/pkgs/applications/display-managers/lightdm/default.nix @@ -1,8 +1,32 @@ -{ stdenv, fetchFromGitHub, pam, pkgconfig, autoconf, automake, libtool, libxcb -, glib, libXdmcp, itstool, intltool, libxklavier, libgcrypt, audit, busybox -, polkit, accountsservice, gtk-doc, gnome3, gobject-introspection, vala, fetchpatch -, withQt4 ? false, qt4 -, withQt5 ? false, qtbase +{ stdenv +, fetchFromGitHub +, substituteAll +, plymouth +, pam +, pkgconfig +, autoconf +, automake +, libtool +, libxcb +, glib +, libXdmcp +, itstool +, intltool +, libxklavier +, libgcrypt +, audit +, busybox +, polkit +, accountsservice +, gtk-doc +, gnome3 +, gobject-introspection +, vala +, fetchpatch +, withQt4 ? false +, qt4 +, withQt5 ? false +, qtbase }: with stdenv.lib; @@ -53,6 +77,20 @@ stdenv.mkDerivation rec { url = "https://src.fedoraproject.org/rpms/lightdm/raw/4cf0d2bed8d1c68970b0322ccd5dbbbb7a0b12bc/f/lightdm-1.25.1-disable_dmrc.patch"; sha256 = "06f7iabagrsiws2l75sx2jyljknr9js7ydn151p3qfi104d1541n"; }) + + # Don't use etc/dbus-1/system.d + (fetchpatch { + url = "https://github.com/canonical/lightdm/commit/a99376f5f51aa147aaf81287d7ce70db76022c47.patch"; + sha256 = "1zyx1qqajrmqcf9hbsapd39gmdanswd9l78rq7q6rdy4692il3yn"; + }) + + # Hardcode plymouth to fix transitions. + # For some reason it can't find `plymouth` + # even when it's in PATH in environment.systemPackages. + (substituteAll { + src = ./fix-paths.patch; + plymouth = "${plymouth}/bin/plymouth"; + }) ]; preConfigure = "NOCONFIGURE=1 ./autogen.sh"; @@ -78,6 +116,10 @@ stdenv.mkDerivation rec { --replace /bin/rm ${busybox}/bin/rm ''; + postInstall = '' + rm -rf $out/etc/apparmor.d $out/etc/init $out/etc/pam.d + ''; + meta = { homepage = https://github.com/CanonicalLtd/lightdm; description = "A cross-desktop display manager"; diff --git a/nixpkgs/pkgs/applications/display-managers/lightdm/fix-paths.patch b/nixpkgs/pkgs/applications/display-managers/lightdm/fix-paths.patch new file mode 100644 index 00000000000..4498bc4d1a3 --- /dev/null +++ b/nixpkgs/pkgs/applications/display-managers/lightdm/fix-paths.patch @@ -0,0 +1,13 @@ +diff --git a/src/plymouth.c b/src/plymouth.c +index d1ed91f4..318f9409 100644 +--- a/src/plymouth.c ++++ b/src/plymouth.c +@@ -24,7 +24,7 @@ static gboolean has_active_vt = FALSE; + static gboolean + plymouth_run_command (const gchar *command, gint *exit_status) + { +- g_autofree gchar *command_line = g_strdup_printf ("plymouth %s", command); ++ g_autofree gchar *command_line = g_strdup_printf ("@plymouth@ %s", command); + g_autoptr(GError) error = NULL; + gboolean result = g_spawn_command_line_sync (command_line, NULL, NULL, exit_status, &error); + diff --git a/nixpkgs/pkgs/applications/display-managers/sddm/default.nix b/nixpkgs/pkgs/applications/display-managers/sddm/default.nix index 526041124bc..735e83a0842 100644 --- a/nixpkgs/pkgs/applications/display-managers/sddm/default.nix +++ b/nixpkgs/pkgs/applications/display-managers/sddm/default.nix @@ -46,6 +46,7 @@ in mkDerivation { "-DQT_IMPORTS_DIR=${placeholder "out"}/${qtbase.qtQmlPrefix}" "-DCMAKE_INSTALL_SYSCONFDIR=${placeholder "out"}/etc" "-DSYSTEMD_SYSTEM_UNIT_DIR=${placeholder "out"}/lib/systemd/system" + "-DDBUS_CONFIG_DIR=${placeholder "out"}/share/dbus-1/system.d" ]; postInstall = '' diff --git a/nixpkgs/pkgs/applications/editors/gnome-builder/default.nix b/nixpkgs/pkgs/applications/editors/gnome-builder/default.nix index 82ed8cc1974..6ac2c6d4964 100644 --- a/nixpkgs/pkgs/applications/editors/gnome-builder/default.nix +++ b/nixpkgs/pkgs/applications/editors/gnome-builder/default.nix @@ -13,7 +13,6 @@ , gtk-doc , gtk3 , gtksourceview4 -, hicolor-icon-theme , json-glib , jsonrpc-glib , libdazzle @@ -53,7 +52,6 @@ stdenv.mkDerivation rec { docbook_xml_dtd_43 gobject-introspection gtk-doc - hicolor-icon-theme (meson.override ({ inherit stdenv; })) ninja pkgconfig diff --git a/nixpkgs/pkgs/applications/editors/neovim/qt.nix b/nixpkgs/pkgs/applications/editors/neovim/qt.nix index 83b14b4ea37..3a46f68e775 100644 --- a/nixpkgs/pkgs/applications/editors/neovim/qt.nix +++ b/nixpkgs/pkgs/applications/editors/neovim/qt.nix @@ -60,8 +60,9 @@ in --prefix PATH : "${neovim}/bin" # link .desktop file - mkdir -p "$out/share" + mkdir -p "$out/share/pixmaps" ln -s '${unwrapped}/share/applications' "$out/share/applications" + ln -s '${unwrapped}/share/pixmaps/nvim-qt.png' "$out/share/pixmaps/nvim-qt.png" ''; preferLocalBuild = true; diff --git a/nixpkgs/pkgs/applications/editors/neovim/wrapper.nix b/nixpkgs/pkgs/applications/editors/neovim/wrapper.nix index ec799c0fa49..ffe597b00db 100644 --- a/nixpkgs/pkgs/applications/editors/neovim/wrapper.nix +++ b/nixpkgs/pkgs/applications/editors/neovim/wrapper.nix @@ -75,8 +75,9 @@ let ${optionalString withRuby '' --set GEM_HOME ${rubyEnv}/${rubyEnv.ruby.gemPath}'' } '' + optionalString (!stdenv.isDarwin) '' - # copy and patch the original neovim.desktop file - mkdir -p $out/share/applications + # copy icon and patch the original neovim.desktop file + mkdir -p $out/share/{applications,pixmaps} + ln -s ${neovim}/share/pixmaps/nvim.png $out/share/pixmaps/nvim.png substitute ${neovim}/share/applications/nvim.desktop $out/share/applications/nvim.desktop \ --replace 'TryExec=nvim' "TryExec=$out/bin/nvim" \ --replace 'Name=Neovim' 'Name=WrappedNeovim' diff --git a/nixpkgs/pkgs/applications/editors/vscode/vscode.nix b/nixpkgs/pkgs/applications/editors/vscode/vscode.nix index ccbba1d77cf..52b9228e766 100644 --- a/nixpkgs/pkgs/applications/editors/vscode/vscode.nix +++ b/nixpkgs/pkgs/applications/editors/vscode/vscode.nix @@ -11,13 +11,13 @@ let archive_fmt = if system == "x86_64-darwin" then "zip" else "tar.gz"; sha256 = { - x86_64-linux = "06yrcn7857fw1dvwj0fhj6b2pb224i0r1m2diqg49a0jaj15mnak"; - x86_64-darwin = "0gq2lazjlzf7wmmdlpg5zg60lmwlmq5rm65lb815r0dpqqj0dizn"; + x86_64-linux = "0p4ah1bbz0njl2bk783gq5z1gxfzapa65qzhrkn216v07rba29jm"; + x86_64-darwin = "162bqdhi2dx74q5f56bhs5phz9az66lb4lvfcj1sdygp51xsb93y"; }.${system}; in callPackage ./generic.nix rec { - version = "1.39.1"; + version = "1.39.2"; pname = "vscode"; executableName = "code" + lib.optionalString isInsiders "-insiders"; diff --git a/nixpkgs/pkgs/applications/editors/vscode/vscodium.nix b/nixpkgs/pkgs/applications/editors/vscode/vscodium.nix index 0f7c10558bd..e2386bc1118 100644 --- a/nixpkgs/pkgs/applications/editors/vscode/vscodium.nix +++ b/nixpkgs/pkgs/applications/editors/vscode/vscodium.nix @@ -11,8 +11,8 @@ let archive_fmt = if system == "x86_64-darwin" then "zip" else "tar.gz"; sha256 = { - x86_64-linux = "1vhrfz36ay67laa5159jcnxyl4prgm8v1mp6anv1s7bppazigg2n"; - x86_64-darwin = "0cxsl0qpk223khndfwwgxl8az4rz4ap657yrkvws9bh8k4vv473h"; + x86_64-linux = "013jhmc29angqh9qb8jj0jqk4whqb59id61njm8gwz977sdgpf9l"; + x86_64-darwin = "09jfii132cib1kn3bghwchdlvi4cfjqz5hvw6j5gr53h7j35k37j"; }.${system}; sourceRoot = { @@ -23,7 +23,7 @@ in callPackage ./generic.nix rec { inherit sourceRoot; - version = "1.39.1"; + version = "1.39.2"; pname = "vscodium"; executableName = "codium"; diff --git a/nixpkgs/pkgs/applications/graphics/ImageMagick/default.nix b/nixpkgs/pkgs/applications/graphics/ImageMagick/default.nix index 993d4ba7ecd..8cb20f7944d 100644 --- a/nixpkgs/pkgs/applications/graphics/ImageMagick/default.nix +++ b/nixpkgs/pkgs/applications/graphics/ImageMagick/default.nix @@ -13,8 +13,8 @@ let else throw "ImageMagick is not supported on this platform."; cfg = { - version = "6.9.9-34"; - sha256 = "0sqrgyfi7i7x1akna95c1qhk9sxxswzm3pkssfi4w6v7bn24g25g"; + version = "6.9.10-68"; + sha256 = "0ldkw6j4x0k7l6ykgpx9hz9cs7dmlapz2lv3lbrgz2nn9znqswxk"; patches = []; } # Freeze version on mingw so we don't need to port the patch too often. diff --git a/nixpkgs/pkgs/applications/graphics/avocode/default.nix b/nixpkgs/pkgs/applications/graphics/avocode/default.nix index bb526b75ea4..20cbd6fc5cf 100644 --- a/nixpkgs/pkgs/applications/graphics/avocode/default.nix +++ b/nixpkgs/pkgs/applications/graphics/avocode/default.nix @@ -1,6 +1,6 @@ { stdenv, makeDesktopItem, fetchurl, unzip , gdk-pixbuf, glib, gtk3, atk, at-spi2-atk, pango, cairo, freetype, fontconfig, dbus, nss, nspr, alsaLib, cups, expat, udev, gnome3 -, xorg, mozjpeg, makeWrapper, wrapGAppsHook, hicolor-icon-theme, libuuid, at-spi2-core +, xorg, mozjpeg, makeWrapper, wrapGAppsHook, libuuid, at-spi2-core }: stdenv.mkDerivation rec { @@ -57,7 +57,7 @@ stdenv.mkDerivation rec { }; nativeBuildInputs = [makeWrapper wrapGAppsHook]; - buildInputs = [ unzip gtk3 gnome3.adwaita-icon-theme hicolor-icon-theme ]; + buildInputs = [ unzip gtk3 gnome3.adwaita-icon-theme ]; # src is producing multiple folder on unzip so we must # override unpackCmd to extract it into newly created folder diff --git a/nixpkgs/pkgs/applications/graphics/dia/default.nix b/nixpkgs/pkgs/applications/graphics/dia/default.nix index a1f96337d74..3984cfc17ca 100644 --- a/nixpkgs/pkgs/applications/graphics/dia/default.nix +++ b/nixpkgs/pkgs/applications/graphics/dia/default.nix @@ -1,6 +1,6 @@ { stdenv, fetchgit, autoconf, automake, libtool, gtk2, pkgconfig, perlPackages, libxml2, gettext, python, libxml2Python, docbook5, docbook_xsl, -libxslt, intltool, libart_lgpl, withGNOME ? false, libgnomeui, hicolor-icon-theme, +libxslt, intltool, libart_lgpl, withGNOME ? false, libgnomeui, gtk-mac-integration-gtk2 }: stdenv.mkDerivation { @@ -15,7 +15,7 @@ stdenv.mkDerivation { buildInputs = [ gtk2 libxml2 gettext python libxml2Python docbook5 - libxslt docbook_xsl libart_lgpl hicolor-icon-theme ] + libxslt docbook_xsl libart_lgpl ] ++ stdenv.lib.optional withGNOME libgnomeui ++ stdenv.lib.optional stdenv.isDarwin gtk-mac-integration-gtk2; diff --git a/nixpkgs/pkgs/applications/graphics/glabels/default.nix b/nixpkgs/pkgs/applications/graphics/glabels/default.nix index 6bc59cd7d02..3bfd51d0370 100644 --- a/nixpkgs/pkgs/applications/graphics/glabels/default.nix +++ b/nixpkgs/pkgs/applications/graphics/glabels/default.nix @@ -1,6 +1,6 @@ { stdenv, fetchurl, barcode, gnome3, autoreconfHook , gtk3, gtk-doc, libxml2, librsvg , libtool, libe-book, gsettings-desktop-schemas -, intltool, itstool, makeWrapper, pkgconfig, hicolor-icon-theme +, intltool, itstool, makeWrapper, pkgconfig }: stdenv.mkDerivation rec { @@ -17,7 +17,6 @@ stdenv.mkDerivation rec { barcode gtk3 gtk-doc gnome3.yelp-tools gnome3.gnome-common gsettings-desktop-schemas itstool libxml2 librsvg libe-book libtool - hicolor-icon-theme ]; preFixup = '' diff --git a/nixpkgs/pkgs/applications/graphics/ideogram/default.nix b/nixpkgs/pkgs/applications/graphics/ideogram/default.nix index a8000878753..61b45ce1603 100644 --- a/nixpkgs/pkgs/applications/graphics/ideogram/default.nix +++ b/nixpkgs/pkgs/applications/graphics/ideogram/default.nix @@ -12,7 +12,6 @@ , pantheon , desktop-file-utils , xorg -, hicolor-icon-theme , wrapGAppsHook }: @@ -29,7 +28,6 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ desktop-file-utils - hicolor-icon-theme # for setup-hook meson ninja vala diff --git a/nixpkgs/pkgs/applications/graphics/inkscape/default.nix b/nixpkgs/pkgs/applications/graphics/inkscape/default.nix index 547e2914399..ed1f61b98d3 100644 --- a/nixpkgs/pkgs/applications/graphics/inkscape/default.nix +++ b/nixpkgs/pkgs/applications/graphics/inkscape/default.nix @@ -2,7 +2,7 @@ , libpng, zlib, popt, boehmgc, libxml2, libxslt, glib, gtkmm2 , glibmm, libsigcxx, lcms, boost, gettext, makeWrapper , gsl, python2, poppler, imagemagick, libwpg, librevenge -, libvisio, libcdr, libexif, potrace, cmake, hicolor-icon-theme +, libvisio, libcdr, libexif, potrace, cmake , librsvg, wrapGAppsHook }: @@ -47,7 +47,7 @@ stdenv.mkDerivation rec { libXft libpng zlib popt boehmgc libxml2 libxslt glib gtkmm2 glibmm libsigcxx lcms boost gettext gsl poppler imagemagick libwpg librevenge - libvisio libcdr libexif potrace hicolor-icon-theme + libvisio libcdr libexif potrace librsvg # for loading icons diff --git a/nixpkgs/pkgs/applications/graphics/krita/default.nix b/nixpkgs/pkgs/applications/graphics/krita/default.nix index 30f7739167c..52397ac33c4 100644 --- a/nixpkgs/pkgs/applications/graphics/krita/default.nix +++ b/nixpkgs/pkgs/applications/graphics/krita/default.nix @@ -10,11 +10,11 @@ mkDerivation rec { pname = "krita"; - version = "4.2.6"; + version = "4.2.7.1"; src = fetchurl { url = "https://download.kde.org/stable/${pname}/${version}/${pname}-${version}.tar.xz"; - sha256 = "0qdaw8xx3h91v8iw6nw2h276ka8hflaq4r4qwz5mqfd3h254jzym"; + sha256 = "1sx4j4brk71bas3cpqzk4bd8bknyl3x4fdg5pv4r7pcfd3vpq2vy"; }; nativeBuildInputs = [ cmake extra-cmake-modules python3Packages.sip makeWrapper ]; diff --git a/nixpkgs/pkgs/applications/graphics/mypaint/default.nix b/nixpkgs/pkgs/applications/graphics/mypaint/default.nix index 1b51732b918..c08bbbea766 100644 --- a/nixpkgs/pkgs/applications/graphics/mypaint/default.nix +++ b/nixpkgs/pkgs/applications/graphics/mypaint/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, gtk3, intltool, json_c, lcms2, libpng, librsvg, gobject-introspection, hicolor-icon-theme +{ stdenv, fetchFromGitHub, gtk3, intltool, json_c, lcms2, libpng, librsvg, gobject-introspection , gdk-pixbuf, pkgconfig, python2Packages, scons, swig, wrapGAppsHook }: let @@ -21,7 +21,7 @@ in stdenv.mkDerivation { ]; buildInputs = [ - gtk3 gdk-pixbuf json_c lcms2 libpng librsvg pycairo pygobject3 python hicolor-icon-theme + gtk3 gdk-pixbuf json_c lcms2 libpng librsvg pycairo pygobject3 python ]; propagatedBuildInputs = [ numpy ]; diff --git a/nixpkgs/pkgs/applications/graphics/rapid-photo-downloader/default.nix b/nixpkgs/pkgs/applications/graphics/rapid-photo-downloader/default.nix index 28d05480dae..4279af4cb78 100644 --- a/nixpkgs/pkgs/applications/graphics/rapid-photo-downloader/default.nix +++ b/nixpkgs/pkgs/applications/graphics/rapid-photo-downloader/default.nix @@ -6,11 +6,11 @@ mkDerivationWith python3Packages.buildPythonApplication rec { pname = "rapid-photo-downloader"; - version = "0.9.16"; + version = "0.9.17"; src = fetchurl { url = "https://launchpad.net/rapid/pyqt/${version}/+download/${pname}-${version}.tar.gz"; - sha256 = "0ij3li17jcqjx79ldv6zg2ckn8m2l9n4xvvq2x79y4q8yx9fqg85"; + sha256 = "10vqbi9rcg8r0jxpx2kn8xmahwgdcal28wpix2fg6nkp5rfwxnr6"; }; # Disable version check and fix install tests diff --git a/nixpkgs/pkgs/applications/graphics/sane/backends/generic.nix b/nixpkgs/pkgs/applications/graphics/sane/backends/generic.nix index 0f47c82f032..92cec3ca82c 100644 --- a/nixpkgs/pkgs/applications/graphics/sane/backends/generic.nix +++ b/nixpkgs/pkgs/applications/graphics/sane/backends/generic.nix @@ -57,6 +57,9 @@ stdenv.mkDerivation { substituteInPlace $out/lib/libsane.la \ --replace "-ljpeg" "-L${libjpeg.out}/lib -ljpeg" + + # net.conf conflicts with the file generated by the nixos module + rm -f $out/etc/sane.d/net.conf '' + stdenv.lib.concatStrings (builtins.map installFirmware compatFirmware); meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/applications/graphics/viewnior/default.nix b/nixpkgs/pkgs/applications/graphics/viewnior/default.nix index 4f5fb12faa6..a80e89e72bc 100644 --- a/nixpkgs/pkgs/applications/graphics/viewnior/default.nix +++ b/nixpkgs/pkgs/applications/graphics/viewnior/default.nix @@ -18,10 +18,6 @@ stdenv.mkDerivation rec { shared-mime-info glib gdk-pixbuf perl ]; - preFixup = '' - rm $out/share/icons/*/icon-theme.cache - ''; - meta = { description = "Fast and simple image viewer"; longDescription = diff --git a/nixpkgs/pkgs/applications/graphics/vimiv/default.nix b/nixpkgs/pkgs/applications/graphics/vimiv/default.nix index 5fc7e309f4d..fab85052df3 100644 --- a/nixpkgs/pkgs/applications/graphics/vimiv/default.nix +++ b/nixpkgs/pkgs/applications/graphics/vimiv/default.nix @@ -1,5 +1,5 @@ { lib, python3Packages, fetchFromGitHub, imagemagick, librsvg, gtk3, jhead -, hicolor-icon-theme, gnome3 +, gnome3 # Test requirements , dbus, xvfb_run, xdotool @@ -38,7 +38,7 @@ python3Packages.buildPythonApplication rec { ''; checkInputs = [ python3Packages.nose dbus.daemon xvfb_run xdotool ]; - buildInputs = [ hicolor-icon-theme gnome3.adwaita-icon-theme librsvg ]; + buildInputs = [ gnome3.adwaita-icon-theme librsvg ]; propagatedBuildInputs = with python3Packages; [ pillow pygobject3 gtk3 ]; makeWrapperArgs = [ diff --git a/nixpkgs/pkgs/applications/graphics/xournalpp/default.nix b/nixpkgs/pkgs/applications/graphics/xournalpp/default.nix index 41539f32b07..3597b97fae6 100644 --- a/nixpkgs/pkgs/applications/graphics/xournalpp/default.nix +++ b/nixpkgs/pkgs/applications/graphics/xournalpp/default.nix @@ -10,7 +10,6 @@ , glib , gsettings-desktop-schemas , gtk3 -, hicolor-icon-theme , libsndfile , libxml2 , libzip @@ -24,13 +23,13 @@ stdenv.mkDerivation rec { pname = "xournalpp"; - version = "1.0.12"; + version = "1.0.15"; src = fetchFromGitHub { owner = "xournalpp"; - repo = "xournalpp"; + repo = pname; rev = version; - sha256 = "0yg70hsx58s3wb5kzccivrqa7kvmdapygxmif1j64hddah2rqcn9"; + sha256 = "1q716hn2ajkxfba0dxp7vcnqfa31hx36ax09yz4d13sdw43rfjf4"; }; nativeBuildInputs = [ cmake gettext pkgconfig wrapGAppsHook ]; @@ -38,7 +37,6 @@ stdenv.mkDerivation rec { [ glib gsettings-desktop-schemas gtk3 - hicolor-icon-theme libsndfile libxml2 libzip diff --git a/nixpkgs/pkgs/applications/kde/fetch.sh b/nixpkgs/pkgs/applications/kde/fetch.sh index ee19f5cebfe..083debb1c99 100644 --- a/nixpkgs/pkgs/applications/kde/fetch.sh +++ b/nixpkgs/pkgs/applications/kde/fetch.sh @@ -1 +1 @@ -WGET_ARGS=( https://download.kde.org/stable/applications/19.08.1/ ) +WGET_ARGS=( https://download.kde.org/stable/applications/19.08.2/ ) diff --git a/nixpkgs/pkgs/applications/kde/kate.nix b/nixpkgs/pkgs/applications/kde/kate.nix index 95ff6cf198b..f01a57a55d4 100644 --- a/nixpkgs/pkgs/applications/kde/kate.nix +++ b/nixpkgs/pkgs/applications/kde/kate.nix @@ -15,8 +15,8 @@ mkDerivation { }; nativeBuildInputs = [ extra-cmake-modules kdoctools ]; - buildInputs = [ libgit2 ]; - propagatedBuildInputs = [ + buildInputs = [ + libgit2 kactivities ki18n kio ktexteditor kwindowsystem plasma-framework qtscript kconfig kcrash kguiaddons kiconthemes kinit kjobwidgets kparts kxmlgui kdbusaddons kwallet kitemmodels knotifications threadweaver diff --git a/nixpkgs/pkgs/applications/kde/konsole.nix b/nixpkgs/pkgs/applications/kde/konsole.nix index 004fc1c37ae..1be5b0b4a89 100644 --- a/nixpkgs/pkgs/applications/kde/konsole.nix +++ b/nixpkgs/pkgs/applications/kde/konsole.nix @@ -1,5 +1,5 @@ { - mkDerivation, lib, makeWrapper, + mkDerivation, lib, extra-cmake-modules, kdoctools, kbookmarks, kcompletion, kconfig, kconfigwidgets, kcoreaddons, kguiaddons, ki18n, kiconthemes, kinit, kdelibs4support, kio, knotifications, @@ -18,12 +18,7 @@ mkDerivation { kbookmarks kcompletion kconfig kconfigwidgets kcoreaddons kdelibs4support kguiaddons ki18n kiconthemes kinit kio knotifications knotifyconfig kparts kpty kservice ktextwidgets kwidgetsaddons kwindowsystem kxmlgui qtscript knewstuff - makeWrapper ]; - postInstall = '' - wrapProgram $out/bin/konsole --prefix XDG_DATA_DIRS ":" $out/share - ''; - propagatedUserEnvPkgs = [ (lib.getBin kinit) ]; } diff --git a/nixpkgs/pkgs/applications/kde/spectacle.nix b/nixpkgs/pkgs/applications/kde/spectacle.nix index 58eb288f6cc..2d46b3cdaab 100644 --- a/nixpkgs/pkgs/applications/kde/spectacle.nix +++ b/nixpkgs/pkgs/applications/kde/spectacle.nix @@ -4,7 +4,7 @@ ki18n, xcb-util-cursor, kconfig, kcoreaddons, kdbusaddons, kdeclarative, kio, kipi-plugins, knotifications, kscreen, kwidgetsaddons, kwindowsystem, kxmlgui, libkipi, - qtx11extras, knewstuff, qttools + qtx11extras, knewstuff, kwayland, qttools }: mkDerivation { @@ -14,7 +14,7 @@ mkDerivation { buildInputs = [ kconfig kcoreaddons kdbusaddons kdeclarative ki18n kio knotifications kscreen kwidgetsaddons kwindowsystem kxmlgui libkipi qtx11extras xcb-util-cursor - knewstuff + knewstuff kwayland ]; postPatch = '' substituteInPlace desktop/org.kde.spectacle.desktop \ diff --git a/nixpkgs/pkgs/applications/kde/srcs.nix b/nixpkgs/pkgs/applications/kde/srcs.nix index 9e5346be9cd..400dfcd7cec 100644 --- a/nixpkgs/pkgs/applications/kde/srcs.nix +++ b/nixpkgs/pkgs/applications/kde/srcs.nix @@ -3,1739 +3,1739 @@ { akonadi = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/akonadi-19.08.1.tar.xz"; - sha256 = "32233b59c696a5053f2ee4b7368804635a04e2a5f6d3605848eadafa0306c44d"; - name = "akonadi-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/akonadi-19.08.2.tar.xz"; + sha256 = "f67f0fac07d480739b2d6715862ee47a93fd38f057ac7ef888ed8ddfdc99934f"; + name = "akonadi-19.08.2.tar.xz"; }; }; akonadi-calendar = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/akonadi-calendar-19.08.1.tar.xz"; - sha256 = "5e8c66d4c86e6458469dbb393458ee8b5e6afc1b4712ce8395709d4226864d6c"; - name = "akonadi-calendar-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/akonadi-calendar-19.08.2.tar.xz"; + sha256 = "5beba24af485c8dae96944e4b5bd570460eb2868ba069580c2e5d784be38a3c8"; + name = "akonadi-calendar-19.08.2.tar.xz"; }; }; akonadi-calendar-tools = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/akonadi-calendar-tools-19.08.1.tar.xz"; - sha256 = "033fae40bcbdcfa52981026f783b7cc8fecde384d6683747cd3f307bd43b2570"; - name = "akonadi-calendar-tools-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/akonadi-calendar-tools-19.08.2.tar.xz"; + sha256 = "a352c2bf8659ad7939f31009b8e35e8b1e629162f681a70999e5e88f9aaf6cbb"; + name = "akonadi-calendar-tools-19.08.2.tar.xz"; }; }; akonadiconsole = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/akonadiconsole-19.08.1.tar.xz"; - sha256 = "9a0f88903757eaf0d3271d4438b3a170640b7cb01a7b2f0fbf10a75fa0093184"; - name = "akonadiconsole-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/akonadiconsole-19.08.2.tar.xz"; + sha256 = "273b8f3d56ea65bd71a51103867b0e718d883478432bb971a262df1ea4c6df6c"; + name = "akonadiconsole-19.08.2.tar.xz"; }; }; akonadi-contacts = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/akonadi-contacts-19.08.1.tar.xz"; - sha256 = "f182883b4cc16034a798feb966df268e84d9c5b8d3c6e14d5698f7ead85a21d7"; - name = "akonadi-contacts-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/akonadi-contacts-19.08.2.tar.xz"; + sha256 = "eafeb550faea91a56109821864eedfbd619dc7850887746d31c1724ea7561920"; + name = "akonadi-contacts-19.08.2.tar.xz"; }; }; akonadi-import-wizard = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/akonadi-import-wizard-19.08.1.tar.xz"; - sha256 = "d66088ded8917f6034de8981ce71d5d0e1808f6d58f7fdb7e0a806ff0834e27b"; - name = "akonadi-import-wizard-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/akonadi-import-wizard-19.08.2.tar.xz"; + sha256 = "038713e7d30686eb1b8e49c595ec853ffa52d335e435a5b0bdf2f2a2448cae45"; + name = "akonadi-import-wizard-19.08.2.tar.xz"; }; }; akonadi-mime = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/akonadi-mime-19.08.1.tar.xz"; - sha256 = "0a4f4652a665229b290431adb59940890effba0804fe33a0e79a24322f90b35c"; - name = "akonadi-mime-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/akonadi-mime-19.08.2.tar.xz"; + sha256 = "16f8034d7990828c50a85474fe16641dfa46e22d00f49d8045d3483c61815264"; + name = "akonadi-mime-19.08.2.tar.xz"; }; }; akonadi-notes = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/akonadi-notes-19.08.1.tar.xz"; - sha256 = "44896f17fc2f625f9fc8c77690acd787291c5e08e8261c5d113c94045bd5bdd8"; - name = "akonadi-notes-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/akonadi-notes-19.08.2.tar.xz"; + sha256 = "64684c50d06664d8ccda098f8bfa536e861e4938c8f27688ef97653f7788fdde"; + name = "akonadi-notes-19.08.2.tar.xz"; }; }; akonadi-search = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/akonadi-search-19.08.1.tar.xz"; - sha256 = "c68387f3452bcd390f1c99549531c72d95db0def29d8ba10330e68891b0d0b53"; - name = "akonadi-search-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/akonadi-search-19.08.2.tar.xz"; + sha256 = "75c9713b84a03c60a68ff36652decbf57f4f56a0fb39579f53e7ed80a5ee8525"; + name = "akonadi-search-19.08.2.tar.xz"; }; }; akregator = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/akregator-19.08.1.tar.xz"; - sha256 = "b73fcf1c509398ff496864f0105491792b5b15f37c52f9a8ca74ca254a75494a"; - name = "akregator-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/akregator-19.08.2.tar.xz"; + sha256 = "cefc0785f40508a80fbf4534eef4d1164e349cfd50455483cef044945d1888c4"; + name = "akregator-19.08.2.tar.xz"; }; }; analitza = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/analitza-19.08.1.tar.xz"; - sha256 = "f963a8abe31d4c0d2b0e0a7e78ec78ced8eb7a0af60df1620ccc2f2409df6a91"; - name = "analitza-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/analitza-19.08.2.tar.xz"; + sha256 = "cbd51fd14d5ba74a7a3590ddca18ed688cbf724cd40f21c87b905f12fadf9399"; + name = "analitza-19.08.2.tar.xz"; }; }; ark = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ark-19.08.1.tar.xz"; - sha256 = "b5638bc4559d775d0a0c2aee022cadc021543bf92e8be6b9b803c50e7e7f1835"; - name = "ark-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ark-19.08.2.tar.xz"; + sha256 = "63fcec0a32d806cfc82fb1c136b5e037bfe75459b148ac08c00be7e45ac70c50"; + name = "ark-19.08.2.tar.xz"; }; }; artikulate = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/artikulate-19.08.1.tar.xz"; - sha256 = "856488a4914ae0cfa594106b4d5c7b5ffd996b009075dfa009ab9cdd2cbc2f9d"; - name = "artikulate-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/artikulate-19.08.2.tar.xz"; + sha256 = "b2b0778f18f04096b84caf72c28dd4bdfdbbc8f0a22ef118b8d18dba19a3f85b"; + name = "artikulate-19.08.2.tar.xz"; }; }; audiocd-kio = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/audiocd-kio-19.08.1.tar.xz"; - sha256 = "e072ed20f07fe246267b3e7c459812fe63d94125a1d2fbcda1c0403e9fe0a520"; - name = "audiocd-kio-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/audiocd-kio-19.08.2.tar.xz"; + sha256 = "383c0e9055b0093661b589395288bb8d173372572490a4ba4960d214b2746b3a"; + name = "audiocd-kio-19.08.2.tar.xz"; }; }; baloo-widgets = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/baloo-widgets-19.08.1.tar.xz"; - sha256 = "83429a70de735edc4714dc1b6f1a5a8c7d3d68a93165e98d2cadeecafa82af7b"; - name = "baloo-widgets-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/baloo-widgets-19.08.2.tar.xz"; + sha256 = "529f3b587098eb9b7d1aaa8b311f98c58d16ed88384fa0900f9fb9f8e242c070"; + name = "baloo-widgets-19.08.2.tar.xz"; }; }; blinken = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/blinken-19.08.1.tar.xz"; - sha256 = "dbe7b13bc6cad69f049f9eefa56f99012bc0906233193bf951477b3f5c8eb87e"; - name = "blinken-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/blinken-19.08.2.tar.xz"; + sha256 = "7f9d909bb845c365dbf49388b79687e7491c271dd7d2f9481a20397153a670f6"; + name = "blinken-19.08.2.tar.xz"; }; }; bomber = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/bomber-19.08.1.tar.xz"; - sha256 = "750110da07a1e316e2a55d043a0e988c91e6e57866b941a5cce1b6f569096f4f"; - name = "bomber-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/bomber-19.08.2.tar.xz"; + sha256 = "26285fe9e510cd334bb933281c615c2971084bfa787618ba0190175c36ada741"; + name = "bomber-19.08.2.tar.xz"; }; }; bovo = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/bovo-19.08.1.tar.xz"; - sha256 = "0d923b6b0eaf2ffd7a1eca833d1f110cc6fdaade3b11d07e8fa53a244a778658"; - name = "bovo-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/bovo-19.08.2.tar.xz"; + sha256 = "63f7a3860de2344be69366f636e841cd3db19743a8a29c42e14402256ed3122b"; + name = "bovo-19.08.2.tar.xz"; }; }; calendarsupport = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/calendarsupport-19.08.1.tar.xz"; - sha256 = "74d1b19a924f2aad7f5a034a7e3b11f2ed5bb94cd21458f0255a64ac6163de97"; - name = "calendarsupport-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/calendarsupport-19.08.2.tar.xz"; + sha256 = "9ae448463ca60e075f1ea9a22489f0acf6ea672c00f9bd7b49027e548e82c2af"; + name = "calendarsupport-19.08.2.tar.xz"; }; }; cantor = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/cantor-19.08.1.tar.xz"; - sha256 = "93b43426c3383718e6ff7b62f073e3c39371a519b98e890c2b7c15cb5086c039"; - name = "cantor-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/cantor-19.08.2.tar.xz"; + sha256 = "039bb1e61b996ab3776502db9367ed1f7fb7e674292647f1b28f5bd9b1c1b9cb"; + name = "cantor-19.08.2.tar.xz"; }; }; cervisia = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/cervisia-19.08.1.tar.xz"; - sha256 = "726c8d4bd942280e08891e15e47d0a0a88ee951addb3c10e1e9955fad2794b7f"; - name = "cervisia-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/cervisia-19.08.2.tar.xz"; + sha256 = "7cbff9d32e2721f6f594a84b42feef6dd76f830e2cc27c6d442d9b6ccbe7fceb"; + name = "cervisia-19.08.2.tar.xz"; }; }; dolphin = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/dolphin-19.08.1.tar.xz"; - sha256 = "a612dac0cf50301af46ad5fa29aad630bb33a8a4bd416a4d6023b65fb00f25cc"; - name = "dolphin-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/dolphin-19.08.2.tar.xz"; + sha256 = "0c56515737fc0f96020b3c157a93023095d1a1e23637e7670e068c6c286bbc3b"; + name = "dolphin-19.08.2.tar.xz"; }; }; dolphin-plugins = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/dolphin-plugins-19.08.1.tar.xz"; - sha256 = "ce2452d9f878dfcff45739ff9eb4a3bde69c449c36182dee6b768f362e75ae2e"; - name = "dolphin-plugins-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/dolphin-plugins-19.08.2.tar.xz"; + sha256 = "da2114bd8ed0a70fba3c3cba82a5543cce2f91af7e1dc12bc7457eeffc03099d"; + name = "dolphin-plugins-19.08.2.tar.xz"; }; }; dragon = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/dragon-19.08.1.tar.xz"; - sha256 = "b015dbba4b8278a4987164f12a9d9e42745d2eb1772da8b8b0c849b28ba03c90"; - name = "dragon-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/dragon-19.08.2.tar.xz"; + sha256 = "3924dba504f370415c0d68cb5079acfc941aa761e9d9d2df2ea48b302ef9ce61"; + name = "dragon-19.08.2.tar.xz"; }; }; eventviews = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/eventviews-19.08.1.tar.xz"; - sha256 = "a44d82e774017171f2eef3ef94b3c5b2765ce08fab5eec0a87b286fd5ea815f7"; - name = "eventviews-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/eventviews-19.08.2.tar.xz"; + sha256 = "48da7f85c86bcc7a64d475c5bbcbb531471e70cfc9f4bda76030280f0671132d"; + name = "eventviews-19.08.2.tar.xz"; }; }; ffmpegthumbs = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ffmpegthumbs-19.08.1.tar.xz"; - sha256 = "527ef798db833e71e2faf315fc89596716bd2bd7d11c78bc1bb2ef9b1549a71b"; - name = "ffmpegthumbs-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ffmpegthumbs-19.08.2.tar.xz"; + sha256 = "deba57ff10525efdf404401f6b605c1be0f02ec0bfe00465e080b42dc379d570"; + name = "ffmpegthumbs-19.08.2.tar.xz"; }; }; filelight = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/filelight-19.08.1.tar.xz"; - sha256 = "170e633e0d2f8c9b13cccfd5957590100be435f9e7258e84c6f15fabc636768e"; - name = "filelight-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/filelight-19.08.2.tar.xz"; + sha256 = "313ff23fceb427509b37efa012535e651618d42bde35c62cdc7732e463c346a6"; + name = "filelight-19.08.2.tar.xz"; }; }; granatier = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/granatier-19.08.1.tar.xz"; - sha256 = "3015a25f961ae3d746db2814a322bfb204e4e39cd95145fbf2aa819f1dc0417e"; - name = "granatier-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/granatier-19.08.2.tar.xz"; + sha256 = "81a0c288edd0be6d7c994a8ad1469679b7e78174d641f9c4f90d31613bad4b47"; + name = "granatier-19.08.2.tar.xz"; }; }; grantlee-editor = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/grantlee-editor-19.08.1.tar.xz"; - sha256 = "77661ac1d125349cd3439d130164ad172f0022376d6c6038c860c0440939e52c"; - name = "grantlee-editor-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/grantlee-editor-19.08.2.tar.xz"; + sha256 = "8e65ca3d949dcdd2b6c2edd582351b123eef49eb104a2dd6c027028315c2d502"; + name = "grantlee-editor-19.08.2.tar.xz"; }; }; grantleetheme = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/grantleetheme-19.08.1.tar.xz"; - sha256 = "61ec8f4902573727d5a292ba55c3663b267d3b1b8017c003ac3445164c2627cb"; - name = "grantleetheme-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/grantleetheme-19.08.2.tar.xz"; + sha256 = "a9d4e70089debdfaffb4af881cf2064ba68a0ad3fe007985c8e5997f0cf0e836"; + name = "grantleetheme-19.08.2.tar.xz"; }; }; gwenview = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/gwenview-19.08.1.tar.xz"; - sha256 = "1ed46507ea30c43e4672b51996ac413683a863978999be91a9df135f9369f3cb"; - name = "gwenview-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/gwenview-19.08.2.tar.xz"; + sha256 = "fa49352a208c9472c911d3579f7601fb915831ad42caf74a053ed749bf5bb1fb"; + name = "gwenview-19.08.2.tar.xz"; }; }; incidenceeditor = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/incidenceeditor-19.08.1.tar.xz"; - sha256 = "591781da9b3bc4b0f366ffa8de658aa31f48e1f435a434669b7c11b5f3a55403"; - name = "incidenceeditor-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/incidenceeditor-19.08.2.tar.xz"; + sha256 = "f2f7bf3a12af21e6f9e4a5f2ba93346e06a6988366af7b452d6268ac9fb4fc3d"; + name = "incidenceeditor-19.08.2.tar.xz"; }; }; juk = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/juk-19.08.1.tar.xz"; - sha256 = "f91de1fa697fba3fe73a086b0f3c254959fbceb769d3752353ee2078b86611f9"; - name = "juk-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/juk-19.08.2.tar.xz"; + sha256 = "b3f0e006d6defa58e0724088a1c99c1c412bc5764f8d1bebadf31b5f331d51d3"; + name = "juk-19.08.2.tar.xz"; }; }; k3b = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/k3b-19.08.1.tar.xz"; - sha256 = "8995f39457932fb6597f0f6124e0dfe09ecb2a25a6ec8506ce3ef870da293749"; - name = "k3b-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/k3b-19.08.2.tar.xz"; + sha256 = "a16796a873018bc5fd9f562297fea56d3f6d32a1e903a3e145814ea7d9be5209"; + name = "k3b-19.08.2.tar.xz"; }; }; kaccounts-integration = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kaccounts-integration-19.08.1.tar.xz"; - sha256 = "7436bb0c8e024122d7137971749ef975878dee557befa4b95bc02ce0801a8450"; - name = "kaccounts-integration-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kaccounts-integration-19.08.2.tar.xz"; + sha256 = "b422c23eb3eefc3a79c4ccb9360ae6269a86982575e981bb949c0782f1f813ce"; + name = "kaccounts-integration-19.08.2.tar.xz"; }; }; kaccounts-providers = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kaccounts-providers-19.08.1.tar.xz"; - sha256 = "ce885be3c0d59b7f65373fbadc8ff4510998f9067d3a7c96dc1eb05df78b071b"; - name = "kaccounts-providers-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kaccounts-providers-19.08.2.tar.xz"; + sha256 = "d5ad6882ff151d2f0cff2b76a83e38cf37c72a0dbdf4a0aff64420903266a309"; + name = "kaccounts-providers-19.08.2.tar.xz"; }; }; kaddressbook = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kaddressbook-19.08.1.tar.xz"; - sha256 = "8091a3bd77ec17757386d71a98a0ef2b6d68e35ca2f9f9b71e4e36c2a3cce5c9"; - name = "kaddressbook-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kaddressbook-19.08.2.tar.xz"; + sha256 = "4d67480ebf8ee96fcde85e66f8ad32119b006e36c87f4e4ac20ecfa967599260"; + name = "kaddressbook-19.08.2.tar.xz"; }; }; kajongg = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kajongg-19.08.1.tar.xz"; - sha256 = "ae40b7ceb7c591f8d92371e3c7584eeae0d84e4680927834eca7ffacd5b9bbf6"; - name = "kajongg-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kajongg-19.08.2.tar.xz"; + sha256 = "08c80ea5d44ee25812de9d9e95d7800cc84c1c02006f59eb08e54f2a0d4c756b"; + name = "kajongg-19.08.2.tar.xz"; }; }; kalarm = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kalarm-19.08.1.tar.xz"; - sha256 = "9eb7c6b160e82ae8d5d294ebd781ef2ac5579e556a564c70598c08925e2021fa"; - name = "kalarm-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kalarm-19.08.2.tar.xz"; + sha256 = "54d61b469042d27b8df903c5fc95dd68c1d108218f1402a733d974ab02576d24"; + name = "kalarm-19.08.2.tar.xz"; }; }; kalarmcal = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kalarmcal-19.08.1.tar.xz"; - sha256 = "add9ee09287491236c9a25cfcb32d437845d094d8fef3682954f561dc2917984"; - name = "kalarmcal-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kalarmcal-19.08.2.tar.xz"; + sha256 = "4dc6e1cd8a9cbf6e3f8e593e68ef6fa912819ece56efa64852ab33e3f582e6b7"; + name = "kalarmcal-19.08.2.tar.xz"; }; }; kalgebra = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kalgebra-19.08.1.tar.xz"; - sha256 = "c9859e0b2b847652007a3244bc658f7e160fe88fc70ea7da6e60f003f54f46c9"; - name = "kalgebra-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kalgebra-19.08.2.tar.xz"; + sha256 = "351a0df1bf637b14683d1a38d8f1eff0153596c5f93723f28f799aead6ee0757"; + name = "kalgebra-19.08.2.tar.xz"; }; }; kalzium = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kalzium-19.08.1.tar.xz"; - sha256 = "2519866172476bec297e9d02ff917b1c676b980edc2f20a9c3297bc255e045f0"; - name = "kalzium-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kalzium-19.08.2.tar.xz"; + sha256 = "e63d88526c86dd67ab133694dc23b6a35fd5514643bd7a7f1790db8c2a8490d9"; + name = "kalzium-19.08.2.tar.xz"; }; }; kamera = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kamera-19.08.1.tar.xz"; - sha256 = "109a030ef55b941758e8d4a58b2abed4c5e1bb7e13e8d239b7132867c801acf5"; - name = "kamera-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kamera-19.08.2.tar.xz"; + sha256 = "2db474afa6201f330631640e04e1bd6ebb96838ce4c16d37617a10063b1fa757"; + name = "kamera-19.08.2.tar.xz"; }; }; kamoso = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kamoso-19.08.1.tar.xz"; - sha256 = "76d7a9ea70646f8e86e912b72bd9f9ab42711f0cd53c7bed1403a274de036675"; - name = "kamoso-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kamoso-19.08.2.tar.xz"; + sha256 = "2b84b3b3fb7f423bbe69716114563f018e02d63ce7b9b85084d098123e4e29b8"; + name = "kamoso-19.08.2.tar.xz"; }; }; kanagram = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kanagram-19.08.1.tar.xz"; - sha256 = "85ba60dc1485f61054847262832edd112224a618effe8759a2dcc8ee73b130a6"; - name = "kanagram-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kanagram-19.08.2.tar.xz"; + sha256 = "251d08a8b73e85af4b90ed429d873b17f56c1e094c4a62116eee3b6bffc96388"; + name = "kanagram-19.08.2.tar.xz"; }; }; kapman = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kapman-19.08.1.tar.xz"; - sha256 = "e80057b4fa9b8af86ecae30871005d4c7508bbc99618cf36dcf1c9c7fa905321"; - name = "kapman-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kapman-19.08.2.tar.xz"; + sha256 = "240d7b0c611728bd1974230227c669bdcfe80081cff2ddae6278d5393bab7a4e"; + name = "kapman-19.08.2.tar.xz"; }; }; kapptemplate = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kapptemplate-19.08.1.tar.xz"; - sha256 = "c1e5d239ce3749e72bcce30dfc8c0a12c3d347b72a2566caa0d23dcc930499a2"; - name = "kapptemplate-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kapptemplate-19.08.2.tar.xz"; + sha256 = "2b719cf75bf8e9b495a8d9aa8288ddb528617c2e76bd1312cfdb2a43b27d6208"; + name = "kapptemplate-19.08.2.tar.xz"; }; }; kate = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kate-19.08.1.tar.xz"; - sha256 = "5389e1620a7eb8d7bab7396ee0db1a886fbdd44c8415291db6a917e89dcc77b7"; - name = "kate-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kate-19.08.2.tar.xz"; + sha256 = "9897f652996e3ebca8a749562cc2d609d704c80b08ec4716622def38f5980b47"; + name = "kate-19.08.2.tar.xz"; }; }; katomic = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/katomic-19.08.1.tar.xz"; - sha256 = "05453f2a1cba1a9bb7c558e9628361685d9b9b44fc4d65599eb05fec6ca3bd5d"; - name = "katomic-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/katomic-19.08.2.tar.xz"; + sha256 = "822963024107e122a3f53f55ae6863a10d92ca59eef5966cded6c9daf5f989b3"; + name = "katomic-19.08.2.tar.xz"; }; }; kbackup = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kbackup-19.08.1.tar.xz"; - sha256 = "93ec83cdb8cb1ad28f444f85aaec2270fbbf3108b3ce0cf22f42a737e0f9cc59"; - name = "kbackup-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kbackup-19.08.2.tar.xz"; + sha256 = "1678ac00c1930f430d620f542dc7913bf1575106654cc9d4b534aed65e023fb8"; + name = "kbackup-19.08.2.tar.xz"; }; }; kblackbox = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kblackbox-19.08.1.tar.xz"; - sha256 = "478b235e9498e9c5bf1c3626db651c85cf41bdd824dec484bccd38f6e73ffcc7"; - name = "kblackbox-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kblackbox-19.08.2.tar.xz"; + sha256 = "4ed8e2fe00e4d2ce0b194f85491f46ceaeec28114ff2dc667c0b112f8237a9ca"; + name = "kblackbox-19.08.2.tar.xz"; }; }; kblocks = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kblocks-19.08.1.tar.xz"; - sha256 = "15afc3b7083fc1ea08d6caa196d883a6ec7f9603302b5774c7ad97eea833f449"; - name = "kblocks-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kblocks-19.08.2.tar.xz"; + sha256 = "8b52c949e2d446a4ccf81b09818fc90234f2f55d8722c385491ee67e1f2abf93"; + name = "kblocks-19.08.2.tar.xz"; }; }; kblog = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kblog-19.08.1.tar.xz"; - sha256 = "e1926ebfb352f6b8c35963fdece240b03be8d3ec094cee46ba694e2869c85cae"; - name = "kblog-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kblog-19.08.2.tar.xz"; + sha256 = "f4d9017d38746b9669efebf5b6cfdc5ebf1cbaf1bbf45ab331530ade3c21cbb5"; + name = "kblog-19.08.2.tar.xz"; }; }; kbounce = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kbounce-19.08.1.tar.xz"; - sha256 = "e3d67ab3fac471b07a45abbcd78d02912392ad3f25e9d48b70a050bfda4a5fb0"; - name = "kbounce-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kbounce-19.08.2.tar.xz"; + sha256 = "8436ba58bb88360b08c2d220c1a92c924b15587769103f04881ac17583cc93c8"; + name = "kbounce-19.08.2.tar.xz"; }; }; kbreakout = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kbreakout-19.08.1.tar.xz"; - sha256 = "1f086f6794b40c6054f0c00d7fbebecea845f2ee7e7e3253efe33942f4ebe19e"; - name = "kbreakout-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kbreakout-19.08.2.tar.xz"; + sha256 = "9550d3ee6f6d412816bd12686272c3f0f0b5b9194242f9b22e2085c39d9720a9"; + name = "kbreakout-19.08.2.tar.xz"; }; }; kbruch = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kbruch-19.08.1.tar.xz"; - sha256 = "74b387e6eafc5fac8b7a75df6f8d61a2b4b0380a82b5c43f3a10c9b75855318f"; - name = "kbruch-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kbruch-19.08.2.tar.xz"; + sha256 = "a2cead23cab880b21769e41086505b50de659630860d056b6a8504caafd4dcf0"; + name = "kbruch-19.08.2.tar.xz"; }; }; kcachegrind = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kcachegrind-19.08.1.tar.xz"; - sha256 = "e677f82e5527caecb0cdacad3f001665c40ba9e6a542a6a4d91fb898b45026c1"; - name = "kcachegrind-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kcachegrind-19.08.2.tar.xz"; + sha256 = "baf17a5c11f21deb7b019a7e3a9819348ec8d20af5c8c4a6108b96266e425b46"; + name = "kcachegrind-19.08.2.tar.xz"; }; }; kcalc = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kcalc-19.08.1.tar.xz"; - sha256 = "7b3c110a97b851e8db03302484cadc59a59ec8378501ee61dd094ac2c7caa203"; - name = "kcalc-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kcalc-19.08.2.tar.xz"; + sha256 = "94a6d004266813449b6b9efbe0e3b0da3e5368059134668277a344a720f65fd9"; + name = "kcalc-19.08.2.tar.xz"; }; }; kcalcore = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kcalcore-19.08.1.tar.xz"; - sha256 = "8c1bbd8e7673907de2c3682cbc1c4fe4a165cbe0b9a2fe399c4b0ae73894228a"; - name = "kcalcore-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kcalcore-19.08.2.tar.xz"; + sha256 = "f7d33ec65cf954a0460258694ecb2e14bf6c00cee5ea9fdc3e015e78947d896a"; + name = "kcalcore-19.08.2.tar.xz"; }; }; kcalutils = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kcalutils-19.08.1.tar.xz"; - sha256 = "b0f17fd7ced68d03666038ee97e6ca96bd504fc8b7f0ae9b53443cefb57558d7"; - name = "kcalutils-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kcalutils-19.08.2.tar.xz"; + sha256 = "3f789a18348152f9fc70965dbc2e9a8bd0ba872968c3d0631afacd0e78d3ce13"; + name = "kcalutils-19.08.2.tar.xz"; }; }; kcharselect = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kcharselect-19.08.1.tar.xz"; - sha256 = "8b5c418e9b35a12eeaa1ebf7834f2a13613926e824699e13214fe35276c42457"; - name = "kcharselect-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kcharselect-19.08.2.tar.xz"; + sha256 = "ff2a8c78fc4a12dd727e8ad8677216d5a480a8c82aff97269397ee8ae01e36df"; + name = "kcharselect-19.08.2.tar.xz"; }; }; kcolorchooser = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kcolorchooser-19.08.1.tar.xz"; - sha256 = "a36cccbbf5dda16c0d97bff2ce415e678481fee5c2a7640b2c2db2f0ea7c70cb"; - name = "kcolorchooser-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kcolorchooser-19.08.2.tar.xz"; + sha256 = "4eb50f314b190f1980e73212a45fe86db39f278f789288cd76cb0763f3176edc"; + name = "kcolorchooser-19.08.2.tar.xz"; }; }; kcontacts = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kcontacts-19.08.1.tar.xz"; - sha256 = "020177eb155d3df44314e89da1824916d125aab48131fce76c2131b40eae8f39"; - name = "kcontacts-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kcontacts-19.08.2.tar.xz"; + sha256 = "0677177d6810047876a219445232c0bf91dc1cdba3cbe4133a0a7eda98c381e8"; + name = "kcontacts-19.08.2.tar.xz"; }; }; kcron = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kcron-19.08.1.tar.xz"; - sha256 = "e60eb14cb2aef0b0398088930102d68817c96a83c54895af6626693fc18c7ed9"; - name = "kcron-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kcron-19.08.2.tar.xz"; + sha256 = "270ee81cba5ef9d92158a3fc71cf8c50c658468018eb0415c9d3d0bc7abea5e5"; + name = "kcron-19.08.2.tar.xz"; }; }; kdav = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kdav-19.08.1.tar.xz"; - sha256 = "38f34f39e165ba3a843acbc9efc3296c111a6bfa8c5ba23e1f55f98860b84d41"; - name = "kdav-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kdav-19.08.2.tar.xz"; + sha256 = "8572a77ee3d0f8a7e09e4975fcf0420394c16e908c4a19aecc409415770595f9"; + name = "kdav-19.08.2.tar.xz"; }; }; kdebugsettings = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kdebugsettings-19.08.1.tar.xz"; - sha256 = "4195a000558b56d849eb6e79880c5140fc30cd8b0657d4a9932035434f4c2649"; - name = "kdebugsettings-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kdebugsettings-19.08.2.tar.xz"; + sha256 = "2823e53da647dec2bd780a3029c6b093917faad3db973147ef74eb8f1c1733df"; + name = "kdebugsettings-19.08.2.tar.xz"; }; }; kde-dev-scripts = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kde-dev-scripts-19.08.1.tar.xz"; - sha256 = "36af795eaa175f142556949fa4cc678a6d3fdad3607d169877d94bea785850d1"; - name = "kde-dev-scripts-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kde-dev-scripts-19.08.2.tar.xz"; + sha256 = "9a47b048cec42eedcec05602eb84d4124a1f67d451c22095e688cb24f7057327"; + name = "kde-dev-scripts-19.08.2.tar.xz"; }; }; kde-dev-utils = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kde-dev-utils-19.08.1.tar.xz"; - sha256 = "c529bb33dbd3b80e5c4737c3be0d17e88901ece48d3b19e61c8c14adab60177c"; - name = "kde-dev-utils-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kde-dev-utils-19.08.2.tar.xz"; + sha256 = "30bbcfa632cbf76a2b3a27f1044ff9708509921882b91a5623cc2a30d40acb32"; + name = "kde-dev-utils-19.08.2.tar.xz"; }; }; kdeedu-data = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kdeedu-data-19.08.1.tar.xz"; - sha256 = "107dff744219210c732aa007d97c8c8d8e87cff5cd446d987b8ac2600ea1f1b7"; - name = "kdeedu-data-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kdeedu-data-19.08.2.tar.xz"; + sha256 = "0ead96a7a10ecbf98c88464f9987e7d8e2efdf7879782e5262b5cda694f3e343"; + name = "kdeedu-data-19.08.2.tar.xz"; }; }; kdegraphics-mobipocket = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kdegraphics-mobipocket-19.08.1.tar.xz"; - sha256 = "b1760e3a22869715881f571c0bc79c1b91876e41f508a5ba53659be774a6628c"; - name = "kdegraphics-mobipocket-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kdegraphics-mobipocket-19.08.2.tar.xz"; + sha256 = "9621b0b3564ce7fcd6890c15c48e11d00c1cf2d3b408b255ec590bd6d113439f"; + name = "kdegraphics-mobipocket-19.08.2.tar.xz"; }; }; kdegraphics-thumbnailers = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kdegraphics-thumbnailers-19.08.1.tar.xz"; - sha256 = "86a81ff786168778cbe0ad7c185320dbf052b1df2e6269f14323df04b48ed2ff"; - name = "kdegraphics-thumbnailers-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kdegraphics-thumbnailers-19.08.2.tar.xz"; + sha256 = "12e2b096d65c5dfde6d16bc2c35b236343ce02ba1ef1b3b68b11257250da02c8"; + name = "kdegraphics-thumbnailers-19.08.2.tar.xz"; }; }; kdenetwork-filesharing = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kdenetwork-filesharing-19.08.1.tar.xz"; - sha256 = "b7d229d06926ad53dcffd4508fde70060260a03cdfc6b59551f5ea551274bdac"; - name = "kdenetwork-filesharing-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kdenetwork-filesharing-19.08.2.tar.xz"; + sha256 = "ceffdaabd3417db306c05ecd6a62b521d0b3eb5996d320d65ae5c51ea46bfdda"; + name = "kdenetwork-filesharing-19.08.2.tar.xz"; }; }; kdenlive = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kdenlive-19.08.1.tar.xz"; - sha256 = "0d19c0d24e16518fd3b57eddffeb7d004723942889bd62e869749f02a1dcc036"; - name = "kdenlive-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kdenlive-19.08.2.tar.xz"; + sha256 = "5ca3b7a2457d2aa355309bc7471791f691edd8774af9a19cbfc8fac39ad53c78"; + name = "kdenlive-19.08.2.tar.xz"; }; }; kdepim-addons = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kdepim-addons-19.08.1.tar.xz"; - sha256 = "eff8e21ae66bf99a33c946886e7d84f5d717b123b06f86e891c9528858b9ec32"; - name = "kdepim-addons-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kdepim-addons-19.08.2.tar.xz"; + sha256 = "1e7d647689d2bfa243a2f4583df7030238409fb05bbcda5b168ef024e6accc89"; + name = "kdepim-addons-19.08.2.tar.xz"; }; }; kdepim-apps-libs = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kdepim-apps-libs-19.08.1.tar.xz"; - sha256 = "40a265cde8770a3fd6181b656da49d460dc67ed06d175067da0092116cd9862e"; - name = "kdepim-apps-libs-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kdepim-apps-libs-19.08.2.tar.xz"; + sha256 = "d542b1d532d3ce3d9f1c63f4455175c7e855cd1e095a1addf1322074afc11923"; + name = "kdepim-apps-libs-19.08.2.tar.xz"; }; }; kdepim-runtime = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kdepim-runtime-19.08.1.tar.xz"; - sha256 = "d7dd6c0108f6c7a37dc1ac0d7b9449664c76ecd3ca4f303f3a1b214862a4b20e"; - name = "kdepim-runtime-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kdepim-runtime-19.08.2.tar.xz"; + sha256 = "9b98980003d2d107596e9acc9482dfc3ea26a2485c75a700bd82b53b9be72ebf"; + name = "kdepim-runtime-19.08.2.tar.xz"; }; }; kdesdk-kioslaves = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kdesdk-kioslaves-19.08.1.tar.xz"; - sha256 = "4bf6d32a33f53b7668313d0e5be81568934b8309f86c9554b25e9346344b2051"; - name = "kdesdk-kioslaves-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kdesdk-kioslaves-19.08.2.tar.xz"; + sha256 = "308bdbbd484f60d14bd4f75e72af1e3308c497696a5f7b011b18bc0f203fd7e5"; + name = "kdesdk-kioslaves-19.08.2.tar.xz"; }; }; kdesdk-thumbnailers = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kdesdk-thumbnailers-19.08.1.tar.xz"; - sha256 = "3da4aa540435fbc848bfc4f1b39f37145072e0856da31b4f5ac3d89719308f03"; - name = "kdesdk-thumbnailers-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kdesdk-thumbnailers-19.08.2.tar.xz"; + sha256 = "d607f956e9c62ee9e9aa000d5444d33e68621e0741072d0d8c14e52bd4cc96be"; + name = "kdesdk-thumbnailers-19.08.2.tar.xz"; }; }; kdf = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kdf-19.08.1.tar.xz"; - sha256 = "2aedb0a4f64d2417728b67e4a289488b59153683d5dd15bca259a64f9c51325e"; - name = "kdf-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kdf-19.08.2.tar.xz"; + sha256 = "f0a27bbf25d5791272cc8598561e53afed9840d38bf08ed3146f36701dfb7b04"; + name = "kdf-19.08.2.tar.xz"; }; }; kdialog = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kdialog-19.08.1.tar.xz"; - sha256 = "6b2ed8636d50d13104b0029f33b11943d6f7087297ad089d61c76a57d3b425a0"; - name = "kdialog-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kdialog-19.08.2.tar.xz"; + sha256 = "7aef7b5a5f340cc0066e02572ec8cef8b227bc6c7f5b066677ef6422632db95a"; + name = "kdialog-19.08.2.tar.xz"; }; }; kdiamond = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kdiamond-19.08.1.tar.xz"; - sha256 = "20280e44742d57508b0a0c4a70f7545bdbacf913300ca35f427801b46c808f8e"; - name = "kdiamond-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kdiamond-19.08.2.tar.xz"; + sha256 = "e0e6104a34711864bc00d12acc5d4ac0143acfaefc5fec8a7f9ec5f7242d32e7"; + name = "kdiamond-19.08.2.tar.xz"; }; }; keditbookmarks = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/keditbookmarks-19.08.1.tar.xz"; - sha256 = "f023c7b3d362c19373e3f886300420488ef53835f753c318f9fd9c0bb7e53a8a"; - name = "keditbookmarks-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/keditbookmarks-19.08.2.tar.xz"; + sha256 = "6a22c3ccdd89d07ad13b34b89704afcc9bf3b5a177d4dc137dcb5eaf1580f6dd"; + name = "keditbookmarks-19.08.2.tar.xz"; }; }; kfind = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kfind-19.08.1.tar.xz"; - sha256 = "a4910d5a3f2b918090084c776ca16bf1e9ae47ced0c2e4eb2a3d0071204527de"; - name = "kfind-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kfind-19.08.2.tar.xz"; + sha256 = "7ce5255fa4ef3e98db937eb23e8cdc89bd6b5e5429ccb5fea769e99da2bc424a"; + name = "kfind-19.08.2.tar.xz"; }; }; kfloppy = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kfloppy-19.08.1.tar.xz"; - sha256 = "c22864e0dfef37ccb9a5329467b9058a14880e88b54c448b5933b57aa98b021b"; - name = "kfloppy-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kfloppy-19.08.2.tar.xz"; + sha256 = "743f9043bdc24855bb597d3f7cf2bbf4793c58be22eb73cd72ff1e3f8cff2f69"; + name = "kfloppy-19.08.2.tar.xz"; }; }; kfourinline = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kfourinline-19.08.1.tar.xz"; - sha256 = "a138908ccb21ab16399edf6a0aa6f95d6197a77dfef9e4ed87c8914ceb8d5b84"; - name = "kfourinline-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kfourinline-19.08.2.tar.xz"; + sha256 = "14d1cd1be7f1524758697f79d55c0c40e8e6359f2039929349e017a97acdc4dc"; + name = "kfourinline-19.08.2.tar.xz"; }; }; kgeography = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kgeography-19.08.1.tar.xz"; - sha256 = "c0c04e902626d52118e81da9fc24fbd87d49d0bcf4ad229f83eef8e4f84fb551"; - name = "kgeography-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kgeography-19.08.2.tar.xz"; + sha256 = "ca535319e5dd3938e572d9d4f4a216a29a5435546742bb6616d2a716f1a1dfcc"; + name = "kgeography-19.08.2.tar.xz"; }; }; kget = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kget-19.08.1.tar.xz"; - sha256 = "ecc9cc31f23304baa8c909335db57460460db27fbffb97438c1ed12703c6b9b9"; - name = "kget-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kget-19.08.2.tar.xz"; + sha256 = "e93795eec8f51cac2719ab31cfa6f5f4f642b166ffbb3f876ab3c866a4cd7df1"; + name = "kget-19.08.2.tar.xz"; }; }; kgoldrunner = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kgoldrunner-19.08.1.tar.xz"; - sha256 = "78c284edc000ec3f3f64bcf0d6c92a50f79632804696de676ed149055de7a6f8"; - name = "kgoldrunner-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kgoldrunner-19.08.2.tar.xz"; + sha256 = "fc719386cd1f0784c9be9813326e3fded8eb2951096abf7fcc4d577e5ed5501a"; + name = "kgoldrunner-19.08.2.tar.xz"; }; }; kgpg = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kgpg-19.08.1.tar.xz"; - sha256 = "441a0bfa58df14bad87f5f446b89113dc20365424f6a87aec30125c9221815c5"; - name = "kgpg-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kgpg-19.08.2.tar.xz"; + sha256 = "90795c649cd32b65b6030ed965e0db5b0570719afa36abb5d4893268461aa841"; + name = "kgpg-19.08.2.tar.xz"; }; }; khangman = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/khangman-19.08.1.tar.xz"; - sha256 = "eb95c48baa57475319f456ee1df11b715e7ceb5b1912e2657a2b1f4617bf2b26"; - name = "khangman-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/khangman-19.08.2.tar.xz"; + sha256 = "dfa7d2f19ab7cd7aa90d91bab1818d48e6df88ddebf729732cca8d6aca15d1f3"; + name = "khangman-19.08.2.tar.xz"; }; }; khelpcenter = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/khelpcenter-19.08.1.tar.xz"; - sha256 = "ae3243fcdc1281937772a091d902adaba0681abe82c222bf7ef895df0899ab63"; - name = "khelpcenter-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/khelpcenter-19.08.2.tar.xz"; + sha256 = "22b9f5225dfb9e8ad85becb7c2986cbee2a1366f84257fcbf76d5d7292dccdd9"; + name = "khelpcenter-19.08.2.tar.xz"; }; }; kidentitymanagement = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kidentitymanagement-19.08.1.tar.xz"; - sha256 = "3ff41eddf047fb1074473fd028b22ddd0fb467c062918148305f10c2fd74f42e"; - name = "kidentitymanagement-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kidentitymanagement-19.08.2.tar.xz"; + sha256 = "8f93f9546d570c8f7b2602a3a171641d488595ec8da3c47b0a08ef4f5083e884"; + name = "kidentitymanagement-19.08.2.tar.xz"; }; }; kig = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kig-19.08.1.tar.xz"; - sha256 = "8b073fd0310e62483a548ada000b4230f2b70dec8ab11ac8303bd64961829675"; - name = "kig-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kig-19.08.2.tar.xz"; + sha256 = "60bab2ccdf69df8ebaed672dc9201e468563d78761f191c43ee5673f9a54246a"; + name = "kig-19.08.2.tar.xz"; }; }; kigo = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kigo-19.08.1.tar.xz"; - sha256 = "7afc2e08192d7e7bf17d67e00aebc498e37b40b47ce78cb7cf2d943a5563817b"; - name = "kigo-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kigo-19.08.2.tar.xz"; + sha256 = "c53a85b312e4acfcc35905a7e5602f3d623e45227fbd3644410b3fd962a9f1a0"; + name = "kigo-19.08.2.tar.xz"; }; }; killbots = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/killbots-19.08.1.tar.xz"; - sha256 = "16fb2338125d342166e630cf589a346a69874ea1da32c0a3c591d6e17241e05e"; - name = "killbots-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/killbots-19.08.2.tar.xz"; + sha256 = "c4407bf534dc9de604d6c169cf278b8cb9ca03055d96b71cbfe3916dbafea479"; + name = "killbots-19.08.2.tar.xz"; }; }; kimagemapeditor = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kimagemapeditor-19.08.1.tar.xz"; - sha256 = "0baa2f3fa5810ab63d08db2d0223af04407bb14e4bda20ad17dbfb6c63f33b3a"; - name = "kimagemapeditor-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kimagemapeditor-19.08.2.tar.xz"; + sha256 = "3be222d94bad94adf4d589fb98c9556af0f0e6c66106a217ee3b9ab031412597"; + name = "kimagemapeditor-19.08.2.tar.xz"; }; }; kimap = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kimap-19.08.1.tar.xz"; - sha256 = "a4fde0c17fcdbc672b8e7ad6ed727e18b6bc2cc3c7f23857a6b1455d99999bbf"; - name = "kimap-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kimap-19.08.2.tar.xz"; + sha256 = "250479c78517610aab810f54184d8826fb981438ec9f0d5c423ad781a796ee00"; + name = "kimap-19.08.2.tar.xz"; }; }; kio-extras = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kio-extras-19.08.1.tar.xz"; - sha256 = "de0ba7f3ce73db34b878cb88e36711d6b31aad57a5a735744330f92920666c52"; - name = "kio-extras-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kio-extras-19.08.2.tar.xz"; + sha256 = "a0b8f08ab8f9d36cfdc950470f75726e90e9fba159bc2035931cfa6efbfe4394"; + name = "kio-extras-19.08.2.tar.xz"; }; }; kipi-plugins = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kipi-plugins-19.08.1.tar.xz"; - sha256 = "6cc8fdc47fbfa5d8b4f9aeb4d82b5f1c9779a300cffbc17f8776dcb2ed61f0e4"; - name = "kipi-plugins-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kipi-plugins-19.08.2.tar.xz"; + sha256 = "2894f50989a14f7fd4be0035efec3cb14583d2285ff11729605b641af0fed192"; + name = "kipi-plugins-19.08.2.tar.xz"; }; }; kirigami-gallery = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kirigami-gallery-19.08.1.tar.xz"; - sha256 = "b981b26fb268448f20077f3e69b3e12f45de91289f5b2026c618cdbff9ec5241"; - name = "kirigami-gallery-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kirigami-gallery-19.08.2.tar.xz"; + sha256 = "db6cf765cd3c7126d9e4d94cacf66478711fe8b676c9505c22604863092d975e"; + name = "kirigami-gallery-19.08.2.tar.xz"; }; }; kiriki = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kiriki-19.08.1.tar.xz"; - sha256 = "ca22215394b7ea172cd1c5eef301871df2526a321b4f3c6b1aa042d4f15abf7c"; - name = "kiriki-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kiriki-19.08.2.tar.xz"; + sha256 = "8aa1749e2b14dbb4b508515a0b1f5164243ee793141ec2af7bfc6be1f6eb67da"; + name = "kiriki-19.08.2.tar.xz"; }; }; kiten = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kiten-19.08.1.tar.xz"; - sha256 = "01e963fd76c87a631bb5a4f86bc8be624907571c60368e6bf5bdce55cff6b59a"; - name = "kiten-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kiten-19.08.2.tar.xz"; + sha256 = "e35552dd49507c66574ae7fc22fe75597a954044a09522652cc1e457d3425edc"; + name = "kiten-19.08.2.tar.xz"; }; }; kitinerary = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kitinerary-19.08.1.tar.xz"; - sha256 = "f84dafa874c958b335ee80dbe85dce3605b40b83ac9468e6555250da8f480967"; - name = "kitinerary-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kitinerary-19.08.2.tar.xz"; + sha256 = "d814a2f1deaadce5fec85b1122aab6ff926c53ca8f020aadc99401bd31597eaa"; + name = "kitinerary-19.08.2.tar.xz"; }; }; kjumpingcube = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kjumpingcube-19.08.1.tar.xz"; - sha256 = "18c7bc9ab96308a4b874226f92f15da38cbb293372fdae8deec45029d6d31f20"; - name = "kjumpingcube-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kjumpingcube-19.08.2.tar.xz"; + sha256 = "7ff90a22670818eee7d02fd8119923761e003e059d0666873d5299cd31c96eb3"; + name = "kjumpingcube-19.08.2.tar.xz"; }; }; kldap = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kldap-19.08.1.tar.xz"; - sha256 = "b6fb822df67449870fb5c0bfe1adb1bd3d44535e3f2186ef6be286e4a590bd54"; - name = "kldap-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kldap-19.08.2.tar.xz"; + sha256 = "79c540693b85c138ae7bf4f72213dfad6dfa48dfc0ab414004d93f15d2ffac6e"; + name = "kldap-19.08.2.tar.xz"; }; }; kleopatra = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kleopatra-19.08.1.tar.xz"; - sha256 = "ef63fbe1a24a24b8c6b491fe19e0bebd9518a2e1340a9dfee7215eb3740369c7"; - name = "kleopatra-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kleopatra-19.08.2.tar.xz"; + sha256 = "7d0667b71796f8cbf9081c74c80def1e6618366400d4fbe56e690bb7049e4085"; + name = "kleopatra-19.08.2.tar.xz"; }; }; klettres = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/klettres-19.08.1.tar.xz"; - sha256 = "9d9616e35f2b82e39916b89a049bee4faca5a4235eb22989c9e8485c7e75239b"; - name = "klettres-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/klettres-19.08.2.tar.xz"; + sha256 = "919fc2b5d722bfdd741f0b1202f31c9aefc5d240ceb88ab785c2acd98a1b8284"; + name = "klettres-19.08.2.tar.xz"; }; }; klickety = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/klickety-19.08.1.tar.xz"; - sha256 = "cb12f79123e96920b323e752b057f21942aba0844d79e310ad343bda0108b273"; - name = "klickety-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/klickety-19.08.2.tar.xz"; + sha256 = "97e165d250d88a5a9f00fc5e111f641c43bed8e1445fd9d7c59f986cf5517271"; + name = "klickety-19.08.2.tar.xz"; }; }; klines = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/klines-19.08.1.tar.xz"; - sha256 = "e8ac5d344c6b9e6d8a9fa9a0fe7da5ebdceec049fbdc8ff476604a0760877aab"; - name = "klines-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/klines-19.08.2.tar.xz"; + sha256 = "1e7f5600b32a43ed4e5b7490430fb20309837e35aceb6b9b904951f23beec86f"; + name = "klines-19.08.2.tar.xz"; }; }; kmag = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kmag-19.08.1.tar.xz"; - sha256 = "28504935665df18246cc6db4288dc2b33f24ed7114007df008f4310ce8792ceb"; - name = "kmag-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kmag-19.08.2.tar.xz"; + sha256 = "6e8ecfab87dca12804a5a8d0a8adf1545e9e17039e0f9667b10a8f2832512e71"; + name = "kmag-19.08.2.tar.xz"; }; }; kmahjongg = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kmahjongg-19.08.1.tar.xz"; - sha256 = "621e30ce5d76ab9f8736cfe13a076501c8822d7d1402d195bfe12c21ffa507c3"; - name = "kmahjongg-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kmahjongg-19.08.2.tar.xz"; + sha256 = "8b2c4b0e3cb9bb85fa6d5f0fc5c55fcca96149e510cde25be2bcd688834a8d08"; + name = "kmahjongg-19.08.2.tar.xz"; }; }; kmail = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kmail-19.08.1.tar.xz"; - sha256 = "4deb5d7c5304c0856fbbafeb7bf09436e28782b96dc5ec342a09b2c26ea386c0"; - name = "kmail-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kmail-19.08.2.tar.xz"; + sha256 = "0ad2a5439da7f255923eeb4c4cf267ece721619aa046a04439f56763aeabac85"; + name = "kmail-19.08.2.tar.xz"; }; }; kmail-account-wizard = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kmail-account-wizard-19.08.1.tar.xz"; - sha256 = "b4f2f769b44845dd02632ce282fc740a35f7f784e9fb54091153365ee88fb864"; - name = "kmail-account-wizard-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kmail-account-wizard-19.08.2.tar.xz"; + sha256 = "769b151d0de47d49e41eaeca501526de41c174f9df99b46418e955163c38e9a8"; + name = "kmail-account-wizard-19.08.2.tar.xz"; }; }; kmailtransport = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kmailtransport-19.08.1.tar.xz"; - sha256 = "521bcfd334a0e7e4986e6cebff3bae4095175a11fd45f777da673f1460d733da"; - name = "kmailtransport-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kmailtransport-19.08.2.tar.xz"; + sha256 = "c545fb5546e82f7dfcea4c6e1a8b565ad04e34851c33876c9bf74c9fbc3165c7"; + name = "kmailtransport-19.08.2.tar.xz"; }; }; kmbox = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kmbox-19.08.1.tar.xz"; - sha256 = "5fa59ffd16df1ae28f7ebf026f67df708c5b84e54e1ab47fd5de957c5b8fc75e"; - name = "kmbox-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kmbox-19.08.2.tar.xz"; + sha256 = "82e2f64b90a1386100e13f9b2afea5d71952a1cb9547f965ddcdb3b8c59c35b1"; + name = "kmbox-19.08.2.tar.xz"; }; }; kmime = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kmime-19.08.1.tar.xz"; - sha256 = "e8693458734f11a9d33a4d761f2b5ccae8f9ed87bb1e9dfc97f4bd0fa7089557"; - name = "kmime-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kmime-19.08.2.tar.xz"; + sha256 = "f153332bb71de9d4451b8d28135a914059f5156fc8dda33f6375671603477771"; + name = "kmime-19.08.2.tar.xz"; }; }; kmines = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kmines-19.08.1.tar.xz"; - sha256 = "8aedc9eeb3426a394a6e048508f700d466c18b262a9b98e01eff379a8d6003fb"; - name = "kmines-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kmines-19.08.2.tar.xz"; + sha256 = "735a3b7b844fbfc970187ddc9d4fe312a5dd6c037e8ad87712388578482d12d8"; + name = "kmines-19.08.2.tar.xz"; }; }; kmix = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kmix-19.08.1.tar.xz"; - sha256 = "a6006ab35bdfc2896188aa99ba50f1b28e8d5172ec8a1068efb15a50c43f87a7"; - name = "kmix-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kmix-19.08.2.tar.xz"; + sha256 = "5d852df8b54d3abca98db4aa2b259973231fed0a597d511d54fb41c6389ce61a"; + name = "kmix-19.08.2.tar.xz"; }; }; kmousetool = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kmousetool-19.08.1.tar.xz"; - sha256 = "d9a3164a0709fa0d2fc3e30ade2bd42c86fb73c7cf4adc341dd7e11e686f7956"; - name = "kmousetool-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kmousetool-19.08.2.tar.xz"; + sha256 = "7cdc327e82548bf70c4ef4feab7a30938f3aefa1e80fccb343ce0d5ca8976ccb"; + name = "kmousetool-19.08.2.tar.xz"; }; }; kmouth = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kmouth-19.08.1.tar.xz"; - sha256 = "531b7b3716fea8c679c5c39c7c04214cb561430182747ce08a9854a76105821e"; - name = "kmouth-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kmouth-19.08.2.tar.xz"; + sha256 = "7a71d9d15d6a5f72c86f41e874f7cb3b45d8edaae2b3bd5409b20bd7bad4a0d3"; + name = "kmouth-19.08.2.tar.xz"; }; }; kmplot = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kmplot-19.08.1.tar.xz"; - sha256 = "7797dc95f64738b918cb19481bc74cebd1f66b5a537592bb53e98e1715701fe2"; - name = "kmplot-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kmplot-19.08.2.tar.xz"; + sha256 = "62017429db210c5b8f99301a6768a6eb10becd0f1f6af6d886a539657d8518a0"; + name = "kmplot-19.08.2.tar.xz"; }; }; knavalbattle = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/knavalbattle-19.08.1.tar.xz"; - sha256 = "6fa3cc1b7de95d22a6c356f411367399626a334ce648abc50ac724a860468915"; - name = "knavalbattle-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/knavalbattle-19.08.2.tar.xz"; + sha256 = "31f25b5397c36434c1910bf8968f92548019ad172b4d5399e6c01939389915ba"; + name = "knavalbattle-19.08.2.tar.xz"; }; }; knetwalk = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/knetwalk-19.08.1.tar.xz"; - sha256 = "0c62b756d3375f0c51046e92904f380544ba77bcc0109607bb38055907579ccf"; - name = "knetwalk-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/knetwalk-19.08.2.tar.xz"; + sha256 = "057b8571f165a716a6cc13ec6489e7b5f9b4c14fa72080180a2098fa0fa028a1"; + name = "knetwalk-19.08.2.tar.xz"; }; }; knights = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/knights-19.08.1.tar.xz"; - sha256 = "bdd99e6ba75e03f19eac5fe6e50c84496eb614725da021208db9119539cea132"; - name = "knights-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/knights-19.08.2.tar.xz"; + sha256 = "2b70338d1cb2b770157a5b061a797620d8dd7dd8c6da0bcb7e2a9db375e71a07"; + name = "knights-19.08.2.tar.xz"; }; }; knotes = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/knotes-19.08.1.tar.xz"; - sha256 = "30b835c063e03d3d9047cbaacf389ee1e261368d2cf73fdfab71b4f9138b8bf7"; - name = "knotes-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/knotes-19.08.2.tar.xz"; + sha256 = "aa0aa4d215507750b055e9af91f552e723aee8163b36f65a3dd19786cf327cf3"; + name = "knotes-19.08.2.tar.xz"; }; }; kolf = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kolf-19.08.1.tar.xz"; - sha256 = "5199274d7ec557d396e74485debe4c7572050de6d31f128cca9ab737062f83bc"; - name = "kolf-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kolf-19.08.2.tar.xz"; + sha256 = "88b9a58885eda34bf5b349db5e84c20df9beb1462922ed6e973a61bd95d853e8"; + name = "kolf-19.08.2.tar.xz"; }; }; kollision = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kollision-19.08.1.tar.xz"; - sha256 = "0f2bac3898ceb26bd17bdcc3481d76709b793a83ace75ba8f6f45fbf54428697"; - name = "kollision-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kollision-19.08.2.tar.xz"; + sha256 = "f2e7d851181033ce39d43a038601ca70c608ae9d0cb0e88228512ca1dbd0cf19"; + name = "kollision-19.08.2.tar.xz"; }; }; kolourpaint = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kolourpaint-19.08.1.tar.xz"; - sha256 = "59c1a2a9d8f012ff1c483dae4f1019232ec667bd88e61c6c8fc07e47312ef23f"; - name = "kolourpaint-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kolourpaint-19.08.2.tar.xz"; + sha256 = "e8bde8d516159ae93dfe56565eea2919d2154606fd1814202f30caf21f659cda"; + name = "kolourpaint-19.08.2.tar.xz"; }; }; kompare = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kompare-19.08.1.tar.xz"; - sha256 = "325a14529c8e015fbae0231511ddd5c61dd3d78cbc6ad92eaccfd1c90a2f1afd"; - name = "kompare-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kompare-19.08.2.tar.xz"; + sha256 = "b14f23ac6eb72622a06e2e489fc2d684124f520ad13e032338397fef342659eb"; + name = "kompare-19.08.2.tar.xz"; }; }; konqueror = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/konqueror-19.08.1.tar.xz"; - sha256 = "48a2847c7fcd5e30ae02d64523c3053b958ae9d3a7a649685660b1340aa644df"; - name = "konqueror-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/konqueror-19.08.2.tar.xz"; + sha256 = "336da877ea44fb100ca5396bc843994d77d0939fe3c969ad8fa85f0e1644d111"; + name = "konqueror-19.08.2.tar.xz"; }; }; konquest = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/konquest-19.08.1.tar.xz"; - sha256 = "114e76a10a992efb3fbd094bd1b66c3d6266c540c41289a0627ec04a8db52ec0"; - name = "konquest-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/konquest-19.08.2.tar.xz"; + sha256 = "3dda62a480e37d97aabdc4670a8ac1cf209605ed274c872ee3575ff1ecff6936"; + name = "konquest-19.08.2.tar.xz"; }; }; konsole = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/konsole-19.08.1.tar.xz"; - sha256 = "7530157a3fa01a9b21971e271a9d46addb5c71dce290db97265928803b57d37f"; - name = "konsole-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/konsole-19.08.2.tar.xz"; + sha256 = "4702fe52279c99e7d8da313285ace26955776669a78bdcb6dac7aec76cabe5ed"; + name = "konsole-19.08.2.tar.xz"; }; }; kontact = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kontact-19.08.1.tar.xz"; - sha256 = "7b57b44ee72211b30fc743fae6580867100ede718909617b90cb926732ecbabb"; - name = "kontact-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kontact-19.08.2.tar.xz"; + sha256 = "d24023381304fbe388a0840921599d13c86912a1285acfc0a7d607962e37c5cf"; + name = "kontact-19.08.2.tar.xz"; }; }; kontactinterface = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kontactinterface-19.08.1.tar.xz"; - sha256 = "adb5c380fd73102b84c72ea27975689dc289b0f5c8dd10f86bf0a857e00170be"; - name = "kontactinterface-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kontactinterface-19.08.2.tar.xz"; + sha256 = "cbd34915ddf6fbcf02395f7c876050f2b732f3769627489f04979e419cfdc869"; + name = "kontactinterface-19.08.2.tar.xz"; }; }; kopete = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kopete-19.08.1.tar.xz"; - sha256 = "c4943c5cbb384eb8697668be2a38dcc0dc16f26485a38c3657658c1cc4dbd2a8"; - name = "kopete-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kopete-19.08.2.tar.xz"; + sha256 = "cf4e4f6ff6dcd6e42a1c3d5339be4a65ed0379ef786155c12cf13f8af339e022"; + name = "kopete-19.08.2.tar.xz"; }; }; korganizer = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/korganizer-19.08.1.tar.xz"; - sha256 = "d7e347df36986926d0e0d1af38130a089b581e400a90cc8bf199cecb29b78023"; - name = "korganizer-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/korganizer-19.08.2.tar.xz"; + sha256 = "969930b66a445873a28bfe2e67876388259e35e89ffce45607583b4a668d9194"; + name = "korganizer-19.08.2.tar.xz"; }; }; kpat = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kpat-19.08.1.tar.xz"; - sha256 = "65bf7299c59d3d7512ab39174fd0e5d044f307784c02895130399534e044831c"; - name = "kpat-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kpat-19.08.2.tar.xz"; + sha256 = "6322d86e12996da3d95f6d4afd1d2e70cfd1771698bab393aaf987fd4fe0ef67"; + name = "kpat-19.08.2.tar.xz"; }; }; kpimtextedit = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kpimtextedit-19.08.1.tar.xz"; - sha256 = "f7e0dc9c706c94fa74a561d42d41246eae57f60c03da1ec52f2311172052d7fe"; - name = "kpimtextedit-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kpimtextedit-19.08.2.tar.xz"; + sha256 = "e565774d77f310165fc44c8b109ef835aae82a2f763d89d1ffb6e5b820cd850d"; + name = "kpimtextedit-19.08.2.tar.xz"; }; }; kpkpass = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kpkpass-19.08.1.tar.xz"; - sha256 = "893ee1f127c2d0c7135fe77c5c2895d04f95c9a6ed3b162c30856f4e99d4afb3"; - name = "kpkpass-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kpkpass-19.08.2.tar.xz"; + sha256 = "daf4da30fd5c834915e6210bf64609adc116e5c6919365d000a400b6cd5f3e26"; + name = "kpkpass-19.08.2.tar.xz"; }; }; kqtquickcharts = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kqtquickcharts-19.08.1.tar.xz"; - sha256 = "af191d150a4777e53b27c39c86f32f80cec8b6eb2442d03425496902f78e79c8"; - name = "kqtquickcharts-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kqtquickcharts-19.08.2.tar.xz"; + sha256 = "3341f99ba3a35e9c4fd70911faebaf4312d7700a342edd242de2118f9a77c9fb"; + name = "kqtquickcharts-19.08.2.tar.xz"; }; }; krdc = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/krdc-19.08.1.tar.xz"; - sha256 = "319bccbc3c3274b89ae58679d063a303df4b95504b1bef97f925da70a0fbcbde"; - name = "krdc-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/krdc-19.08.2.tar.xz"; + sha256 = "c5e6193115afe742f25365bacb55aea21428acf38407659f77636217c589d8ff"; + name = "krdc-19.08.2.tar.xz"; }; }; kreversi = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kreversi-19.08.1.tar.xz"; - sha256 = "f4c691dcc5c7864c8201f7e06470e2856996c35c5317020e56822c48af0b810e"; - name = "kreversi-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kreversi-19.08.2.tar.xz"; + sha256 = "345c72ad38b9bd759f7569c7c0541c08a2cdad5ab92d08c1db8b6cf8ffaf1ce2"; + name = "kreversi-19.08.2.tar.xz"; }; }; krfb = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/krfb-19.08.1.tar.xz"; - sha256 = "3abe42f6e648f171fa38652fe03184725d1abcccf16bf1c1039ebada1f3c64c3"; - name = "krfb-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/krfb-19.08.2.tar.xz"; + sha256 = "08877020abf6b7ac38e393443c34e7791456fc5bea8c43c552551148fd67b67e"; + name = "krfb-19.08.2.tar.xz"; }; }; kross-interpreters = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kross-interpreters-19.08.1.tar.xz"; - sha256 = "d6acb31bd4c97364aa4a77767e012af32ecd0f560da939901a81be5776f2de49"; - name = "kross-interpreters-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kross-interpreters-19.08.2.tar.xz"; + sha256 = "e0c63d73441c08c1b5b6627e9c02172c72f079ac0baeb596849a49ad38f8723c"; + name = "kross-interpreters-19.08.2.tar.xz"; }; }; kruler = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kruler-19.08.1.tar.xz"; - sha256 = "040eef3746a660798e1701af6d9d17f4d091c30db9321dd5d37b172f5b91d59c"; - name = "kruler-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kruler-19.08.2.tar.xz"; + sha256 = "2a3c51a60f503807694cf49796b3bf82c6992ec4c0c15cf38adae92a8dbc24cc"; + name = "kruler-19.08.2.tar.xz"; }; }; kshisen = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kshisen-19.08.1.tar.xz"; - sha256 = "1631baaff368ca40a386c60998a9a491a2054a951f5b7311bea74f708d61d65f"; - name = "kshisen-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kshisen-19.08.2.tar.xz"; + sha256 = "3c18bc546f2dadcff03af2a25b6f468357967560b7fddf916aea2b27d22c2364"; + name = "kshisen-19.08.2.tar.xz"; }; }; ksirk = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ksirk-19.08.1.tar.xz"; - sha256 = "42e00d3591fbfbe1db6de4caad963606a0ca5ee9a224b757157594bebb8c733c"; - name = "ksirk-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ksirk-19.08.2.tar.xz"; + sha256 = "6016d929de52d6e03ae1c1ba483e5e505542f16edfbd37c8dfbb9d0913e7f7f8"; + name = "ksirk-19.08.2.tar.xz"; }; }; ksmtp = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ksmtp-19.08.1.tar.xz"; - sha256 = "71401abcbb6aedd2845c84bca65f77297722b3414f4d4caeaa6ac6b8f2edc46c"; - name = "ksmtp-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ksmtp-19.08.2.tar.xz"; + sha256 = "0d1308fd01f7261e78bedb465983be2ccf5a1514cfa31125e0a3488f67ab6590"; + name = "ksmtp-19.08.2.tar.xz"; }; }; ksnakeduel = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ksnakeduel-19.08.1.tar.xz"; - sha256 = "130e6545102a4e39f284a409213e9d45066ed27c077ff881839f33db78f62dd5"; - name = "ksnakeduel-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ksnakeduel-19.08.2.tar.xz"; + sha256 = "bb13aa91d0e75c1099a57606e0ea1f567a3ffe8f4efbf6a94420bd372ff10289"; + name = "ksnakeduel-19.08.2.tar.xz"; }; }; kspaceduel = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kspaceduel-19.08.1.tar.xz"; - sha256 = "47a6d9c78b1d24e80803b7e1765bb6de37157e9f1e733ef5ce50a54612c16bf9"; - name = "kspaceduel-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kspaceduel-19.08.2.tar.xz"; + sha256 = "3e2af55afcf78f74ca1fa67ea46da2db4cbc6fd9f522a94d3adb9bed6b518aa8"; + name = "kspaceduel-19.08.2.tar.xz"; }; }; ksquares = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ksquares-19.08.1.tar.xz"; - sha256 = "39fd1b73c3c13c3322a5658b8deed31261b0e68edc5cb9666ade374d5d9d8283"; - name = "ksquares-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ksquares-19.08.2.tar.xz"; + sha256 = "f16fa641f02a6462085d291ed8d3262891cdeeeff8bbbde4e0aabfdac3dc7bc9"; + name = "ksquares-19.08.2.tar.xz"; }; }; ksudoku = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ksudoku-19.08.1.tar.xz"; - sha256 = "cae801e0c595009e35aa11df370de421164f10d9840ae4dede2cd57f19cd6866"; - name = "ksudoku-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ksudoku-19.08.2.tar.xz"; + sha256 = "4630117e12c10c2f326a4e55dceed7f19d183b597eadc3d4308aecf5a5455156"; + name = "ksudoku-19.08.2.tar.xz"; }; }; ksystemlog = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ksystemlog-19.08.1.tar.xz"; - sha256 = "7dde2a350b32011027d6ab9648859218a053c5509ad08bce8c2de875d2ae73db"; - name = "ksystemlog-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ksystemlog-19.08.2.tar.xz"; + sha256 = "742bff9c71cc42d7a57a7732f039a944f60fe4dd70cf71c32f37ba914b57b5de"; + name = "ksystemlog-19.08.2.tar.xz"; }; }; kteatime = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kteatime-19.08.1.tar.xz"; - sha256 = "a4b80c5ca6f48c1d291a9502c43293cd0aa383f2e089b9435b02ff79b317c310"; - name = "kteatime-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kteatime-19.08.2.tar.xz"; + sha256 = "075470af370b7913fdb085dd6984da91f863b6c03a4b713854e85437e6f9cdbe"; + name = "kteatime-19.08.2.tar.xz"; }; }; ktimer = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ktimer-19.08.1.tar.xz"; - sha256 = "f81af279e9e79bb3044718fa868973524e85df65dfaf654b8f45824b6f9d17cb"; - name = "ktimer-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ktimer-19.08.2.tar.xz"; + sha256 = "81be41497e14f5fb72150e238805744c9b09463ac261cf5d7d2ca011a41a05a0"; + name = "ktimer-19.08.2.tar.xz"; }; }; ktnef = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ktnef-19.08.1.tar.xz"; - sha256 = "bab23e40af2fe5ba2dd0be71687fbdd56d0868f2ef2a399721da88b12c65764f"; - name = "ktnef-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ktnef-19.08.2.tar.xz"; + sha256 = "d8efabe72eedd5e89f3de9637a1a6d68ce670ec071e031470aa6852f9ad8561d"; + name = "ktnef-19.08.2.tar.xz"; }; }; ktouch = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ktouch-19.08.1.tar.xz"; - sha256 = "d97ee5f253dd4e4d802bb8109c2e12d4d48bc7741686d07783e5687a499a2da7"; - name = "ktouch-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ktouch-19.08.2.tar.xz"; + sha256 = "d36659c21d05465c7a77330dbfbbab09946093eac1db6c02147d81a838eba636"; + name = "ktouch-19.08.2.tar.xz"; }; }; ktp-accounts-kcm = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ktp-accounts-kcm-19.08.1.tar.xz"; - sha256 = "2f76fc870bd7a96540aa91054b3cac38b917f90c129fada86c3639815dfa27a5"; - name = "ktp-accounts-kcm-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ktp-accounts-kcm-19.08.2.tar.xz"; + sha256 = "7995813bc983c80b200cddf6b0f55fa8c48be3297ee03e3e0a7601cbc86b8dd8"; + name = "ktp-accounts-kcm-19.08.2.tar.xz"; }; }; ktp-approver = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ktp-approver-19.08.1.tar.xz"; - sha256 = "a446c23836f6e38bb739246595cf1773f4909279cf1522b96ccd6626ba36430a"; - name = "ktp-approver-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ktp-approver-19.08.2.tar.xz"; + sha256 = "74670d519578486e05237f5085a51fe0a8ce833be413c06702f38b27b27913ec"; + name = "ktp-approver-19.08.2.tar.xz"; }; }; ktp-auth-handler = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ktp-auth-handler-19.08.1.tar.xz"; - sha256 = "9a86ce184596cd54b914a7ff0424cadbee24b98f00b8736380e4153ee8596f64"; - name = "ktp-auth-handler-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ktp-auth-handler-19.08.2.tar.xz"; + sha256 = "b13304909d8e66adc2a0658081fd41e72b0ef7513e041b9a8f3261a8ffd7bb22"; + name = "ktp-auth-handler-19.08.2.tar.xz"; }; }; ktp-call-ui = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ktp-call-ui-19.08.1.tar.xz"; - sha256 = "bab48fcdc4f4a7becfeca99dbe9061b9d08a510f94548c6ebdf720100ddb5a4c"; - name = "ktp-call-ui-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ktp-call-ui-19.08.2.tar.xz"; + sha256 = "0d735c34f937a436e82e994c9d60b851473d31b3dc07f1d2ec7eeeab63b83658"; + name = "ktp-call-ui-19.08.2.tar.xz"; }; }; ktp-common-internals = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ktp-common-internals-19.08.1.tar.xz"; - sha256 = "985d55a259df9cb0593db50ac88bd5d3ab155c6e26563386230fe66294c3dc63"; - name = "ktp-common-internals-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ktp-common-internals-19.08.2.tar.xz"; + sha256 = "b08cb6dc05e325c80f9d1753db23d1969fc6c2defc571401e7b2e87772721f7e"; + name = "ktp-common-internals-19.08.2.tar.xz"; }; }; ktp-contact-list = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ktp-contact-list-19.08.1.tar.xz"; - sha256 = "a3ade7f7bacd53c90062923b488a7f60968a45d6d63890a618638f514dd3a5b2"; - name = "ktp-contact-list-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ktp-contact-list-19.08.2.tar.xz"; + sha256 = "ab5778049e1351bc5cec29e3bfd98588f24b9877d385e787eb1f68715d624d34"; + name = "ktp-contact-list-19.08.2.tar.xz"; }; }; ktp-contact-runner = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ktp-contact-runner-19.08.1.tar.xz"; - sha256 = "385bc8bebb7847cefdd17738ecaf03b102794ac7f38dc58ebe10d100385b769f"; - name = "ktp-contact-runner-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ktp-contact-runner-19.08.2.tar.xz"; + sha256 = "6ec9fd151b98c2f48d1ef4361c063e83fe51562fc34868c032d39495ab38fb85"; + name = "ktp-contact-runner-19.08.2.tar.xz"; }; }; ktp-desktop-applets = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ktp-desktop-applets-19.08.1.tar.xz"; - sha256 = "ec26ba5893998f1e5c293d40e5410a7170ae4e0dea46f03bd5241c51c3240951"; - name = "ktp-desktop-applets-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ktp-desktop-applets-19.08.2.tar.xz"; + sha256 = "96975cf9208d215da1844619e2792be0919238a03ede71073813584042d6c774"; + name = "ktp-desktop-applets-19.08.2.tar.xz"; }; }; ktp-filetransfer-handler = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ktp-filetransfer-handler-19.08.1.tar.xz"; - sha256 = "34f7eed85709524efaa89924de85842c3532b1ade8572fe28dc2e8ce3f6026eb"; - name = "ktp-filetransfer-handler-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ktp-filetransfer-handler-19.08.2.tar.xz"; + sha256 = "cd2a8fb944e76b6a1ea4f8c956db2d9914a0d5bd472c3fac2e9b568144bf87ab"; + name = "ktp-filetransfer-handler-19.08.2.tar.xz"; }; }; ktp-kded-module = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ktp-kded-module-19.08.1.tar.xz"; - sha256 = "323b538c08da82aaf66503463b4334bc603a37bb358fa6f1d5794562c05eed4f"; - name = "ktp-kded-module-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ktp-kded-module-19.08.2.tar.xz"; + sha256 = "340bffd880fee602868cb44bc4c5474db1c34be62375298affedf3cc7eb1579f"; + name = "ktp-kded-module-19.08.2.tar.xz"; }; }; ktp-send-file = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ktp-send-file-19.08.1.tar.xz"; - sha256 = "1e4f9348dab9546d344d00783d6a5ad93b9b299b96d551dd09325c95932cbcd2"; - name = "ktp-send-file-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ktp-send-file-19.08.2.tar.xz"; + sha256 = "30a4a27b4c37c8ca75ebc407c6070395197b9b88ba8e422d7055eee93ec0ae9d"; + name = "ktp-send-file-19.08.2.tar.xz"; }; }; ktp-text-ui = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ktp-text-ui-19.08.1.tar.xz"; - sha256 = "21c9c58bd498623a6bc9bbfa01c82548af29fdf7f690a359eb57ccd9a3de3105"; - name = "ktp-text-ui-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ktp-text-ui-19.08.2.tar.xz"; + sha256 = "a0b7d8469b12ae6820541cc38dd57fad8866ad6e236c4864b7cf94629ca0cc33"; + name = "ktp-text-ui-19.08.2.tar.xz"; }; }; ktuberling = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/ktuberling-19.08.1.tar.xz"; - sha256 = "b373c7552ff695e7d3428b7f2551315de00786177a5dc4bb96f777bdb84887cc"; - name = "ktuberling-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/ktuberling-19.08.2.tar.xz"; + sha256 = "e6cfcd7175c7ed081ecd743c2fdd845f88b25d6cb4d46f9e6d58e35eb11c66ad"; + name = "ktuberling-19.08.2.tar.xz"; }; }; kturtle = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kturtle-19.08.1.tar.xz"; - sha256 = "5643434e861391471674e6cf86347c362b076e1d3fe1396022b5080b899bf934"; - name = "kturtle-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kturtle-19.08.2.tar.xz"; + sha256 = "1f1245c444aba511aea0630f80953171dd11334f55f6b9547a844e25293cf833"; + name = "kturtle-19.08.2.tar.xz"; }; }; kubrick = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kubrick-19.08.1.tar.xz"; - sha256 = "51ff60a682c69f829af7f7e4748128d48e691aacd584379e099c437473a45c03"; - name = "kubrick-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kubrick-19.08.2.tar.xz"; + sha256 = "89c181f8c82db143cd671fe78105e95a229e43fd64cab17ccdb80774b4ca8638"; + name = "kubrick-19.08.2.tar.xz"; }; }; kwalletmanager = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kwalletmanager-19.08.1.tar.xz"; - sha256 = "b6206da5001f79b67264f641210925b0400b41dc59562b978d402b9524835c14"; - name = "kwalletmanager-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kwalletmanager-19.08.2.tar.xz"; + sha256 = "5c0cd648d6bf4515cd71b4575ab8051004dcf505c2bfe502fb42b7ba01cb51b2"; + name = "kwalletmanager-19.08.2.tar.xz"; }; }; kwave = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kwave-19.08.1.tar.xz"; - sha256 = "6febc3d01c15f94fa0f75a731a375b76642c2cdce9afc373a5c1e92fb3753891"; - name = "kwave-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kwave-19.08.2.tar.xz"; + sha256 = "7836b7737d3f578f6d44b40dddf8b3abae53eaa84b3352bfed242eb6ef3d5604"; + name = "kwave-19.08.2.tar.xz"; }; }; kwordquiz = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/kwordquiz-19.08.1.tar.xz"; - sha256 = "ead21c1caa1d1665a8ef685c4b46a442b7423aba63153617008985e84ff4c318"; - name = "kwordquiz-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/kwordquiz-19.08.2.tar.xz"; + sha256 = "9bb9abc4058b8520a9229317d7da6cbbddb8a715549ca487c04af5f9eb425019"; + name = "kwordquiz-19.08.2.tar.xz"; }; }; libgravatar = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/libgravatar-19.08.1.tar.xz"; - sha256 = "d39d6970b5113b2b805b048ca9b14770ab16d59c8ec755b0c5f6d4f7d6df73a2"; - name = "libgravatar-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/libgravatar-19.08.2.tar.xz"; + sha256 = "393370a9d7d4a74627469b2e67bb3f7a0ef73dac8b11a3ab5af6c384c20a0de5"; + name = "libgravatar-19.08.2.tar.xz"; }; }; libkcddb = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/libkcddb-19.08.1.tar.xz"; - sha256 = "f73d3f802e1ffec6b75246505cbec5a7baa328b808c23b42608e05fd8c7b30a5"; - name = "libkcddb-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/libkcddb-19.08.2.tar.xz"; + sha256 = "f3e4c650b0abe10f281037bd6c805243a656fecdfc046a8586324c760ba90e48"; + name = "libkcddb-19.08.2.tar.xz"; }; }; libkcompactdisc = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/libkcompactdisc-19.08.1.tar.xz"; - sha256 = "53d206967d98e9ee8254aca58d1ba34458761106c323deb449fa94bed1e24037"; - name = "libkcompactdisc-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/libkcompactdisc-19.08.2.tar.xz"; + sha256 = "e185f528a4c6fea1b789186fe64a183197afc447c8cb939c9b6b9957f60d04e0"; + name = "libkcompactdisc-19.08.2.tar.xz"; }; }; libkdcraw = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/libkdcraw-19.08.1.tar.xz"; - sha256 = "b159a669cb4c01770c363b4dd53033248402d37b29acb416ec45e71ac12449e2"; - name = "libkdcraw-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/libkdcraw-19.08.2.tar.xz"; + sha256 = "735ab40633efec394c6265d83f86ad7caf278f63d1dd33cc6edf572da4925fcb"; + name = "libkdcraw-19.08.2.tar.xz"; }; }; libkdegames = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/libkdegames-19.08.1.tar.xz"; - sha256 = "1b365627bd0a3a42a32a0a8d401b53d2ac09f0f9cf040b4b0483c5574991b774"; - name = "libkdegames-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/libkdegames-19.08.2.tar.xz"; + sha256 = "83456cec44502a1f79c0be00c983090e32fd8aea5fec1461fbfbd37b5f8866ac"; + name = "libkdegames-19.08.2.tar.xz"; }; }; libkdepim = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/libkdepim-19.08.1.tar.xz"; - sha256 = "46966eaae2bc71a5bab0c22bcfff858e299d7f1cec2203c1d12cebbc084ee9ce"; - name = "libkdepim-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/libkdepim-19.08.2.tar.xz"; + sha256 = "67578e4c98e7e5d94249144e3720ae5fbc17231d4ecc46cb0e1be6e7ced4a71b"; + name = "libkdepim-19.08.2.tar.xz"; }; }; libkeduvocdocument = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/libkeduvocdocument-19.08.1.tar.xz"; - sha256 = "9e7eb36b0c649231a792f618b28fd110b3782ea086cce81436191e9f73c6674e"; - name = "libkeduvocdocument-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/libkeduvocdocument-19.08.2.tar.xz"; + sha256 = "74338cb3fcb9346a981585484f758aabd372d1a43afe9facd93d94c229424250"; + name = "libkeduvocdocument-19.08.2.tar.xz"; }; }; libkexiv2 = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/libkexiv2-19.08.1.tar.xz"; - sha256 = "bee9a16eda002146b42f358b0dc58c5db832719870761264cc6cf0a199ab0537"; - name = "libkexiv2-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/libkexiv2-19.08.2.tar.xz"; + sha256 = "abdafabe834862e157356f2686ae871f00302d82ae639dbf89030d19ccc54b1b"; + name = "libkexiv2-19.08.2.tar.xz"; }; }; libkgapi = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/libkgapi-19.08.1.tar.xz"; - sha256 = "1ad2491348cc97f591aa681f7a649f2337c9a92e845980304c1110c69eecd579"; - name = "libkgapi-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/libkgapi-19.08.2.tar.xz"; + sha256 = "b220908dd4a21e589a25b964b7786f1154f63ca98bf90c43ced3120adf4fb0a6"; + name = "libkgapi-19.08.2.tar.xz"; }; }; libkgeomap = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/libkgeomap-19.08.1.tar.xz"; - sha256 = "aed369217007698beaa3230bf5b5360602d44ca6d333026158b15666f3670555"; - name = "libkgeomap-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/libkgeomap-19.08.2.tar.xz"; + sha256 = "145692b900d87a84b74507b53ddc05947e6c1cce46381d8960559b5907296219"; + name = "libkgeomap-19.08.2.tar.xz"; }; }; libkipi = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/libkipi-19.08.1.tar.xz"; - sha256 = "ec2012821c90062e43ad7c77861ab519b24aea429ed9f0b7bdf6ef9c00e82ba4"; - name = "libkipi-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/libkipi-19.08.2.tar.xz"; + sha256 = "fcaf576afb961d9a36c1f69c034c43b9f0991cbd0726ea684c2c49096f36d5c6"; + name = "libkipi-19.08.2.tar.xz"; }; }; libkleo = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/libkleo-19.08.1.tar.xz"; - sha256 = "5808a40d9c9358048d558a4c96f90e8c51b2dab3588ab3c678b02d5810020a31"; - name = "libkleo-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/libkleo-19.08.2.tar.xz"; + sha256 = "0acf296ffb0144096071e47ce1365b9e5b07b59cad4700f89c875c7bee4573bd"; + name = "libkleo-19.08.2.tar.xz"; }; }; libkmahjongg = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/libkmahjongg-19.08.1.tar.xz"; - sha256 = "c4e3a29bb923ead76f1fb528fa62c677423ebb4ac07dd149a6fc3f6ae055eb39"; - name = "libkmahjongg-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/libkmahjongg-19.08.2.tar.xz"; + sha256 = "8699949fae90c0e92dd046b904b0624f79c37fecaa46557c808f20f24e215947"; + name = "libkmahjongg-19.08.2.tar.xz"; }; }; libkomparediff2 = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/libkomparediff2-19.08.1.tar.xz"; - sha256 = "a020ba9287ee084a0f5a10896f1559f11aff1c97957405f47deeda32a0874b31"; - name = "libkomparediff2-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/libkomparediff2-19.08.2.tar.xz"; + sha256 = "c5738f96dbda3d7272ad08ff9518722ae9b7ee737ab7e27c9e88cedb418371d7"; + name = "libkomparediff2-19.08.2.tar.xz"; }; }; libksane = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/libksane-19.08.1.tar.xz"; - sha256 = "215fae62d8ea1f70908cafc5bc8667c02d4f0329669d056c99443a7b14a6e589"; - name = "libksane-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/libksane-19.08.2.tar.xz"; + sha256 = "97e05423f4a9205b9db0bbce5111615d6bf8e8d53a391d3398275babccd91aa0"; + name = "libksane-19.08.2.tar.xz"; }; }; libksieve = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/libksieve-19.08.1.tar.xz"; - sha256 = "ab384877148710e7de92e88a192f52beaad667804bbc641b63c21cfdaa0aee31"; - name = "libksieve-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/libksieve-19.08.2.tar.xz"; + sha256 = "5c5bb9182e53a2a928d70985f6dd514c8b308891c4899b942784e80d221318f6"; + name = "libksieve-19.08.2.tar.xz"; }; }; lokalize = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/lokalize-19.08.1.tar.xz"; - sha256 = "fe1e1f8fb2f2ad3cde1830b2ddbcce0e41ffe5696c6e32b0639c8931967b3943"; - name = "lokalize-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/lokalize-19.08.2.tar.xz"; + sha256 = "3fe3af9f647bcda7f89eab5c3ebb7bce4e9ceb0b7cfb5206c2f6ecdf9cdbe3ee"; + name = "lokalize-19.08.2.tar.xz"; }; }; lskat = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/lskat-19.08.1.tar.xz"; - sha256 = "e4b073cc65be0f1e7e01b4b2aa28bed30480aef097f5185eb608b4e45b9352e9"; - name = "lskat-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/lskat-19.08.2.tar.xz"; + sha256 = "3ae219b92cbc4c9acfacbb16e262e82b03cd5ddba024820e82d8de7312327a9c"; + name = "lskat-19.08.2.tar.xz"; }; }; mailcommon = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/mailcommon-19.08.1.tar.xz"; - sha256 = "3fb6f09ce8bc9ccddfa1420fa1a7c60a47065afdfbb5a30292179efbcebba833"; - name = "mailcommon-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/mailcommon-19.08.2.tar.xz"; + sha256 = "39df1544c0278600d5a1a57697835828358ae44203087e29430ce1bd0c355e20"; + name = "mailcommon-19.08.2.tar.xz"; }; }; mailimporter = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/mailimporter-19.08.1.tar.xz"; - sha256 = "4236938a2dca5ea0bc572afbe76ae28fc6ad1e65f383743de98a4e505f674962"; - name = "mailimporter-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/mailimporter-19.08.2.tar.xz"; + sha256 = "27780c381919ebc9e6fc0de7021cd03277dd3962d4c4c15770fcf44cd6126814"; + name = "mailimporter-19.08.2.tar.xz"; }; }; marble = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/marble-19.08.1.tar.xz"; - sha256 = "7e09e3037287be117e47de402d1f5ea7dd49f625ccf4a46d1d016a527d487e9e"; - name = "marble-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/marble-19.08.2.tar.xz"; + sha256 = "4add63522a51fdea7b425f29bd3d35c558a0b881336ff6a90bfc07483acbf6a6"; + name = "marble-19.08.2.tar.xz"; }; }; mbox-importer = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/mbox-importer-19.08.1.tar.xz"; - sha256 = "3f4c96ee65ffa0488df09522e1bda2ea38c0adf420ae66fff11f670566c5536c"; - name = "mbox-importer-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/mbox-importer-19.08.2.tar.xz"; + sha256 = "644175d6fcb66b878309de899f4a3e060e29d4f31ce337d44ee6e1af5901044f"; + name = "mbox-importer-19.08.2.tar.xz"; }; }; messagelib = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/messagelib-19.08.1.tar.xz"; - sha256 = "ec43d913028124a49eaa440e4b55dba23b6ab503728b897a3ad8e1fc5e446802"; - name = "messagelib-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/messagelib-19.08.2.tar.xz"; + sha256 = "d761e94d2fa71c2de6a52e0c1756f52f0006ada35711189b343eccdafe0a0390"; + name = "messagelib-19.08.2.tar.xz"; }; }; minuet = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/minuet-19.08.1.tar.xz"; - sha256 = "524c389060c13b37a3df4662ca9ca5e2862d20ea71f47b1a5157a088d2d065d4"; - name = "minuet-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/minuet-19.08.2.tar.xz"; + sha256 = "1713ac758388443bbd1e2f5158cba594c35c3afdc5122a993fc66d70b07eb904"; + name = "minuet-19.08.2.tar.xz"; }; }; okular = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/okular-19.08.1.tar.xz"; - sha256 = "9e363b73febd5da1a17e53a8f89914784b555c1f0085ddc0f55ef56082b0bd54"; - name = "okular-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/okular-19.08.2.tar.xz"; + sha256 = "ff3d2eac444a110a611add71c30b0556085f5aaccdd821a80bd070a646c9f6b3"; + name = "okular-19.08.2.tar.xz"; }; }; palapeli = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/palapeli-19.08.1.tar.xz"; - sha256 = "c9eeafe854529ea5a09e9ef6fd37b8be3d0d370322938c009c826bd936953adc"; - name = "palapeli-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/palapeli-19.08.2.tar.xz"; + sha256 = "bae810595c7ba7bdac0fa5c27e6c2a3a9bb1bbdae3521cfc036ec0cdcd9ef1a7"; + name = "palapeli-19.08.2.tar.xz"; }; }; parley = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/parley-19.08.1.tar.xz"; - sha256 = "6ee4d538ddaecd5b6c3d855db62a4b5061240b2089b3dcc592712398fd1d066b"; - name = "parley-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/parley-19.08.2.tar.xz"; + sha256 = "1201945d55657d6b89e309220edb1a60a61debf0bbf59b508d1c0a21a8dc407e"; + name = "parley-19.08.2.tar.xz"; }; }; picmi = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/picmi-19.08.1.tar.xz"; - sha256 = "47e0dd79ee4ae86d8be6822f9328fac2f00ce68cf862202e889c0f77a88d0d91"; - name = "picmi-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/picmi-19.08.2.tar.xz"; + sha256 = "ecfa211043327991a0927be852c185223adebe5f15cdc39e79e31022802f904b"; + name = "picmi-19.08.2.tar.xz"; }; }; pimcommon = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/pimcommon-19.08.1.tar.xz"; - sha256 = "5956e2767ea88efd73cbefef9cb80d16bb4cb5cb63857975fbb6ead1b984026c"; - name = "pimcommon-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/pimcommon-19.08.2.tar.xz"; + sha256 = "ccdf2624a055a9db31b0b8109c791776d111ae91438f41ed50dcb9faca287e4c"; + name = "pimcommon-19.08.2.tar.xz"; }; }; pim-data-exporter = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/pim-data-exporter-19.08.1.tar.xz"; - sha256 = "766e8b15f7853d84629bc49ad33aa59291d6d95cfd9db279e9e0ad70b0fab1fe"; - name = "pim-data-exporter-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/pim-data-exporter-19.08.2.tar.xz"; + sha256 = "e4703d7a10935814738394a38c5ad54f9923c58e43c397e2e78a4b1b1176f4c2"; + name = "pim-data-exporter-19.08.2.tar.xz"; }; }; pim-sieve-editor = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/pim-sieve-editor-19.08.1.tar.xz"; - sha256 = "b49045d7326cf0ca7fabbf58d8508d61986701887871c4df53fad1f960a64438"; - name = "pim-sieve-editor-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/pim-sieve-editor-19.08.2.tar.xz"; + sha256 = "ae9f7de78ab9adffe2575bf1367b1ae4928afee1fd299bb91ca2d26b3bb86c03"; + name = "pim-sieve-editor-19.08.2.tar.xz"; }; }; poxml = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/poxml-19.08.1.tar.xz"; - sha256 = "d38dce3114b01bc72163329dac629c4e5d36db15e09d52dd0ffbcaa645408d98"; - name = "poxml-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/poxml-19.08.2.tar.xz"; + sha256 = "c5e36bd10d3a8d0474f903eebd45e026ca306beb74829c43a1e7be4ed34ca9e8"; + name = "poxml-19.08.2.tar.xz"; }; }; print-manager = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/print-manager-19.08.1.tar.xz"; - sha256 = "b1fd9aa067329a4f5bb715e7db736160954bbec303be0ba5bc8f98852071e731"; - name = "print-manager-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/print-manager-19.08.2.tar.xz"; + sha256 = "c0702208b6f485e2e44337aaf203b9e391adda22d6526bf0dd34b31230e0fb05"; + name = "print-manager-19.08.2.tar.xz"; }; }; rocs = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/rocs-19.08.1.tar.xz"; - sha256 = "eaa2fefae8123071e5802d0c13016d0b99608f91c75c7c6e4fbe6f2c6dc12adf"; - name = "rocs-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/rocs-19.08.2.tar.xz"; + sha256 = "4e61226334f79a260f0ccc7789a099a91c7643d9fdfcdd052b002f2fe6f64885"; + name = "rocs-19.08.2.tar.xz"; }; }; signon-kwallet-extension = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/signon-kwallet-extension-19.08.1.tar.xz"; - sha256 = "7d558509cf015641c76d4203c8dadc4e9720278fb39b4561eb2bce4e5412bb83"; - name = "signon-kwallet-extension-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/signon-kwallet-extension-19.08.2.tar.xz"; + sha256 = "2521cd2c4f25717f5caf9915474f75614be7dec7053f1e94c1429fac7045cc29"; + name = "signon-kwallet-extension-19.08.2.tar.xz"; }; }; spectacle = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/spectacle-19.08.1.tar.xz"; - sha256 = "21057fd4990048df33f5d739fc98af2a555ca4b7db50688333fecabc12f24786"; - name = "spectacle-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/spectacle-19.08.2.tar.xz"; + sha256 = "4cf2f0903cec2787a03a8bbdbd219acc29ac412f352a4ff94ef50ae9a6eb459e"; + name = "spectacle-19.08.2.tar.xz"; }; }; step = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/step-19.08.1.tar.xz"; - sha256 = "533750dda4adcd0f3d8ec269103f35ee1ab4b4e9eae9721522b1b278660577a6"; - name = "step-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/step-19.08.2.tar.xz"; + sha256 = "dede94c073b2903fa4fa6806623cb980ebe93d15cc76376aadac4ca8cd61a96c"; + name = "step-19.08.2.tar.xz"; }; }; svgpart = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/svgpart-19.08.1.tar.xz"; - sha256 = "4ed6277d3f2c12a4a53dd308911c613af5ae65f53819aeacf42e08dcd08dbd5b"; - name = "svgpart-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/svgpart-19.08.2.tar.xz"; + sha256 = "6c6510b604bba3aebbeca136ec9534c416bc0ba55125227bdd0af2a86052855c"; + name = "svgpart-19.08.2.tar.xz"; }; }; sweeper = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/sweeper-19.08.1.tar.xz"; - sha256 = "cc539649fa4a2698ad07653f9427981381bf8b5344f05dab76acdf1704b4479a"; - name = "sweeper-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/sweeper-19.08.2.tar.xz"; + sha256 = "da65cbfc952d8b63ddfbcba373d9a828ef5acefc68196ddd4c2c602c672cbb5f"; + name = "sweeper-19.08.2.tar.xz"; }; }; umbrello = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/umbrello-19.08.1.tar.xz"; - sha256 = "ce56fa6d96bbc78cf69246d6f45e9b098f8fcc75d7771875a8e638b01bbf6efa"; - name = "umbrello-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/umbrello-19.08.2.tar.xz"; + sha256 = "69a721d27ecedbe694d232e04469002dcf2319dd320982aacc2a02e32430c382"; + name = "umbrello-19.08.2.tar.xz"; }; }; yakuake = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/yakuake-19.08.1.tar.xz"; - sha256 = "184fec9d07505faf820821e197582f7733694848cc17e71ee4f525772e78fc10"; - name = "yakuake-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/yakuake-19.08.2.tar.xz"; + sha256 = "3ebf477069c85a8705302b6b51902a74af7bb92349fab41000f71484a4de5aee"; + name = "yakuake-19.08.2.tar.xz"; }; }; zeroconf-ioslave = { - version = "19.08.1"; + version = "19.08.2"; src = fetchurl { - url = "${mirror}/stable/applications/19.08.1/src/zeroconf-ioslave-19.08.1.tar.xz"; - sha256 = "0c5f8931dd2997345fc6d3e8ef73c36615a73f8c906fb6be9c27432bc038000a"; - name = "zeroconf-ioslave-19.08.1.tar.xz"; + url = "${mirror}/stable/applications/19.08.2/src/zeroconf-ioslave-19.08.2.tar.xz"; + sha256 = "19e31534d1a4503d1dd4bd8ef60cfb48a91ee167dd4b0db99e53b318a355dae0"; + name = "zeroconf-ioslave-19.08.2.tar.xz"; }; }; } diff --git a/nixpkgs/pkgs/applications/misc/almanah/default.nix b/nixpkgs/pkgs/applications/misc/almanah/default.nix index 4997a9c7f2a..06321d9d621 100644 --- a/nixpkgs/pkgs/applications/misc/almanah/default.nix +++ b/nixpkgs/pkgs/applications/misc/almanah/default.nix @@ -1,19 +1,59 @@ -{ stdenv, fetchurl, pkgconfig, intltool -, libxml2, desktop-file-utils, wrapGAppsHook, evolution-data-server, gtkspell3, gpgme, libcryptui -, glib, gtk3, gtksourceview3, sqlite, cairo, atk, gcr, gnome3 }: +{ stdenv +, fetchurl +, atk +, cairo +, desktop-file-utils +, evolution-data-server +, gcr +, gettext +, glib +, gnome3 +, gpgme +, gtk3 +, gtksourceview3 +, gtkspell3 +, libcryptui +, libxml2 +, meson +, ninja +, pkgconfig +, sqlite +, wrapGAppsHook +}: stdenv.mkDerivation rec { pname = "almanah"; - version = "0.11.1"; + version = "0.12.0"; src = fetchurl { url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "1g0fyykq8bs3x1xqc0l0bk9zazcrxja784m68myymv1zfqqnp9h0"; + sha256 = "09rxx4s4c34d1axza6ayss33v78p44r9bpx058shllh1sf5avpcb"; }; - nativeBuildInputs = [ pkgconfig intltool libxml2 desktop-file-utils wrapGAppsHook ]; + nativeBuildInputs = [ + desktop-file-utils + gettext + libxml2 + meson + ninja + pkgconfig + wrapGAppsHook + ]; - buildInputs = [ glib gtk3 gtksourceview3 sqlite cairo atk gcr gtkspell3 evolution-data-server gnome3.evolution gpgme libcryptui ]; + buildInputs = [ + atk + cairo + evolution-data-server + gcr + glib + gnome3.evolution + gpgme + gtk3 + gtksourceview3 + gtkspell3 + libcryptui + sqlite + ]; passthru = { updateScript = gnome3.updateScript { diff --git a/nixpkgs/pkgs/applications/misc/appeditor/default.nix b/nixpkgs/pkgs/applications/misc/appeditor/default.nix index 2be1a6007f1..398e14a9c25 100644 --- a/nixpkgs/pkgs/applications/misc/appeditor/default.nix +++ b/nixpkgs/pkgs/applications/misc/appeditor/default.nix @@ -9,7 +9,6 @@ , gettext , glib , gtk3 -, hicolor-icon-theme , libgee , wrapGAppsHook }: @@ -37,7 +36,6 @@ stdenv.mkDerivation rec { buildInputs = [ glib gtk3 - hicolor-icon-theme pantheon.granite libgee ]; diff --git a/nixpkgs/pkgs/applications/misc/clipit/default.nix b/nixpkgs/pkgs/applications/misc/clipit/default.nix index 7a4e25560c7..129516a4498 100644 --- a/nixpkgs/pkgs/applications/misc/clipit/default.nix +++ b/nixpkgs/pkgs/applications/misc/clipit/default.nix @@ -1,4 +1,4 @@ -{ fetchurl, stdenv, intltool, pkgconfig, gtk2, xdotool, hicolor-icon-theme }: +{ fetchurl, stdenv, intltool, pkgconfig, gtk2, xdotool }: stdenv.mkDerivation rec { pname = "clipit"; @@ -10,7 +10,7 @@ stdenv.mkDerivation rec { }; nativeBuildInputs = [ pkgconfig ]; - buildInputs = [ intltool gtk2 xdotool hicolor-icon-theme ]; + buildInputs = [ intltool gtk2 xdotool ]; meta = with stdenv.lib; { description = "Lightweight GTK Clipboard Manager"; diff --git a/nixpkgs/pkgs/applications/misc/cura/default.nix b/nixpkgs/pkgs/applications/misc/cura/default.nix index 04cf627b281..702a9c585a3 100644 --- a/nixpkgs/pkgs/applications/misc/cura/default.nix +++ b/nixpkgs/pkgs/applications/misc/cura/default.nix @@ -8,7 +8,7 @@ mkDerivation rec { owner = "Ultimaker"; repo = "Cura"; rev = version; - sha256 = "1qnai8vmgy5lx3lapw96j41i8mw9p6r99i3qzs709l9yzrix6l86"; + sha256 = "1wf60qr0wqsci5skp55qr8h56s3x5s2icxbn58ia9s4a5hhvnsmf"; }; materials = fetchFromGitHub { diff --git a/nixpkgs/pkgs/applications/misc/djvulibre/default.nix b/nixpkgs/pkgs/applications/misc/djvulibre/default.nix index 0b685e04a6f..182ab51dbc2 100644 --- a/nixpkgs/pkgs/applications/misc/djvulibre/default.nix +++ b/nixpkgs/pkgs/applications/misc/djvulibre/default.nix @@ -1,22 +1,34 @@ -{ stdenv, fetchurl, libjpeg, libtiff, librsvg, libiconv }: +{ stdenv +, fetchurl +, libjpeg +, libtiff +, librsvg +, libiconv +}: stdenv.mkDerivation rec { - name = "djvulibre-3.5.27"; + pname = "djvulibre"; + version = "3.5.27"; src = fetchurl { - url = "mirror://sourceforge/djvu/${name}.tar.gz"; + url = "mirror://sourceforge/djvu/${pname}-${version}.tar.gz"; sha256 = "0psh3zl9dj4n4r3lx25390nx34xz0bg0ql48zdskhq354ljni5p6"; }; outputs = [ "bin" "dev" "out" ]; - buildInputs = [ libjpeg libtiff librsvg libiconv ]; + buildInputs = [ + libjpeg + libtiff + librsvg + libiconv + ]; meta = with stdenv.lib; { - description = "A library and viewer for the DJVU file format for scanned images"; - homepage = http://djvu.sourceforge.net; + description = "The big set of CLI tools to make/modify/optimize/show/export DJVU files"; + homepage = "http://djvu.sourceforge.net"; license = licenses.gpl2; - maintainers = with maintainers; [ ]; + maintainers = with maintainers; [ Anton-Latukha ]; platforms = platforms.all; }; } diff --git a/nixpkgs/pkgs/applications/misc/exercism/default.nix b/nixpkgs/pkgs/applications/misc/exercism/default.nix index 6d04cef76ce..ebc582730e5 100644 --- a/nixpkgs/pkgs/applications/misc/exercism/default.nix +++ b/nixpkgs/pkgs/applications/misc/exercism/default.nix @@ -1,19 +1,19 @@ -{ stdenv, buildGoPackage, fetchFromGitHub }: +{ stdenv, buildGoModule, fetchFromGitHub }: -buildGoPackage rec { +buildGoModule rec { pname = "exercism"; - version = "3.0.11"; - - goPackagePath = "github.com/exercism/cli"; + version = "3.0.12"; src = fetchFromGitHub { owner = "exercism"; repo = "cli"; rev = "v${version}"; - sha256 = "1wg23bvibsk6j4iqwyw35wl9plfwdqxiql81zci7r1x4d5cp26av"; + sha256 = "1xvxcl7j5izx5lgmjd97zd28lg2sydwgbgn2cnisz5r0d27pj3ra"; }; - goDeps = ./deps.nix; + modSha256 = "0pg0hxrr6jjd03wbjn5y65x02md3h352mnm1gr6vyiv7hn4ws14m"; + + subPackages = [ "./exercism" ]; meta = with stdenv.lib; { inherit (src.meta) homepage; diff --git a/nixpkgs/pkgs/applications/misc/exercism/deps.nix b/nixpkgs/pkgs/applications/misc/exercism/deps.nix deleted file mode 100644 index 10a6baa2241..00000000000 --- a/nixpkgs/pkgs/applications/misc/exercism/deps.nix +++ /dev/null @@ -1,201 +0,0 @@ -# file generated from Gopkg.lock using dep2nix (https://github.com/nixcloud/dep2nix) -[ - { - goPackagePath = "github.com/blang/semver"; - fetch = { - type = "git"; - url = "https://github.com/blang/semver"; - rev = "2ee87856327ba09384cabd113bc6b5d174e9ec0f"; - sha256 = "13ws259bwcibkclbr82ilhk6zadm63kxklxhk12wayklj8ghhsmy"; - }; - } - { - goPackagePath = "github.com/davecgh/go-spew"; - fetch = { - type = "git"; - url = "https://github.com/davecgh/go-spew"; - rev = "346938d642f2ec3594ed81d874461961cd0faa76"; - sha256 = "0d4jfmak5p6lb7n2r6yvf5p1zcw0l8j74kn55ghvr7zr7b7axm6c"; - }; - } - { - goPackagePath = "github.com/fsnotify/fsnotify"; - fetch = { - type = "git"; - url = "https://github.com/fsnotify/fsnotify"; - rev = "629574ca2a5df945712d3079857300b5e4da0236"; - sha256 = "06wfg1mmzjj04z7d0q1x2fai9k6hm957brngsaf02fa9a3qqanv3"; - }; - } - { - goPackagePath = "github.com/hashicorp/hcl"; - fetch = { - type = "git"; - url = "https://github.com/hashicorp/hcl"; - rev = "392dba7d905ed5d04a5794ba89f558b27e2ba1ca"; - sha256 = "1rfm67kma2hpakabf7hxlj196jags4rpjpcirwg4kan4g9b6j0kb"; - }; - } - { - goPackagePath = "github.com/inconshreveable/go-update"; - fetch = { - type = "git"; - url = "https://github.com/inconshreveable/go-update"; - rev = "8152e7eb6ccf8679a64582a66b78519688d156ad"; - sha256 = "07czhspakpi7al004rm669cmf4h5l0vnygsm11280nkfn2zxqdi3"; - }; - } - { - goPackagePath = "github.com/inconshreveable/mousetrap"; - fetch = { - type = "git"; - url = "https://github.com/inconshreveable/mousetrap"; - rev = "76626ae9c91c4f2a10f34cad8ce83ea42c93bb75"; - sha256 = "1mn0kg48xkd74brf48qf5hzp0bc6g8cf5a77w895rl3qnlpfw152"; - }; - } - { - goPackagePath = "github.com/magiconair/properties"; - fetch = { - type = "git"; - url = "https://github.com/magiconair/properties"; - rev = "be5ece7dd465ab0765a9682137865547526d1dfb"; - sha256 = "0spk58x9b0hj29cw6wy6rlvc6s9xk4r0gmlxgsc194pkzqcg1my8"; - }; - } - { - goPackagePath = "github.com/mitchellh/mapstructure"; - fetch = { - type = "git"; - url = "https://github.com/mitchellh/mapstructure"; - rev = "d0303fe809921458f417bcf828397a65db30a7e4"; - sha256 = "1fjwi5ghc1ibyx93apz31n4hj6gcq1hzismpdfbg2qxwshyg0ya8"; - }; - } - { - goPackagePath = "github.com/pelletier/go-buffruneio"; - fetch = { - type = "git"; - url = "https://github.com/pelletier/go-buffruneio"; - rev = "c37440a7cf42ac63b919c752ca73a85067e05992"; - sha256 = "0l83p1gg6g5mmhmxjisrhfimhbm71lwn1r2w7d6siwwqm9q08sd2"; - }; - } - { - goPackagePath = "github.com/pelletier/go-toml"; - fetch = { - type = "git"; - url = "https://github.com/pelletier/go-toml"; - rev = "5ccdfb18c776b740aecaf085c4d9a2779199c279"; - sha256 = "1jl44j58y62rhnwkzw3mvcj725gdyzs45pq4ga81qqxwqxs3czsq"; - }; - } - { - goPackagePath = "github.com/pmezard/go-difflib"; - fetch = { - type = "git"; - url = "https://github.com/pmezard/go-difflib"; - rev = "792786c7400a136282c1664665ae0a8db921c6c2"; - sha256 = "0c1cn55m4rypmscgf0rrb88pn58j3ysvc2d0432dp3c6fqg6cnzw"; - }; - } - { - goPackagePath = "github.com/spf13/afero"; - fetch = { - type = "git"; - url = "https://github.com/spf13/afero"; - rev = "9be650865eab0c12963d8753212f4f9c66cdcf12"; - sha256 = "12dhh6d07304lsjv7c4p95hkip0hnshqhwivdw39pbypgg0p8y34"; - }; - } - { - goPackagePath = "github.com/spf13/cast"; - fetch = { - type = "git"; - url = "https://github.com/spf13/cast"; - rev = "acbeb36b902d72a7a4c18e8f3241075e7ab763e4"; - sha256 = "0w25s6gjbbwv47b9208hysyqqphd6pib3d2phg24mjy4wigkm050"; - }; - } - { - goPackagePath = "github.com/spf13/cobra"; - fetch = { - type = "git"; - url = "https://github.com/spf13/cobra"; - rev = "b26b538f693051ac6518e65672de3144ce3fbedc"; - sha256 = "0pm3qlw35xygz9zz7hizlmin76wrfac8vsxvsd9i0zpnijbkmjv6"; - }; - } - { - goPackagePath = "github.com/spf13/jwalterweatherman"; - fetch = { - type = "git"; - url = "https://github.com/spf13/jwalterweatherman"; - rev = "0efa5202c04663c757d84f90f5219c1250baf94f"; - sha256 = "1sfd72zvw9lrzfc8haswhqf93bzm20q4yhbynm6n5fnnc56zn4gs"; - }; - } - { - goPackagePath = "github.com/spf13/pflag"; - fetch = { - type = "git"; - url = "https://github.com/spf13/pflag"; - rev = "e57e3eeb33f795204c1ca35f56c44f83227c6e66"; - sha256 = "13mhx4i913jil32j295m3a36jzvq1y64xig0naadiz7q9ja011r2"; - }; - } - { - goPackagePath = "github.com/spf13/viper"; - fetch = { - type = "git"; - url = "https://github.com/spf13/viper"; - rev = "15738813a09db5c8e5b60a19d67d3f9bd38da3a4"; - sha256 = "1mjfzg8zvnxckaq6l8gw99i2msrfqn9yr04dc3b7kd5bpxi6zr4v"; - }; - } - { - goPackagePath = "github.com/stretchr/testify"; - fetch = { - type = "git"; - url = "https://github.com/stretchr/testify"; - rev = "69483b4bd14f5845b5a1e55bca19e954e827f1d0"; - sha256 = "11lzrwkdzdd8yyag92akncc008h2f9d1bpc489mxiwp0jrmz4ivb"; - }; - } - { - goPackagePath = "golang.org/x/net"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/net"; - rev = "f5079bd7f6f74e23c4d65efa0f4ce14cbd6a3c0f"; - sha256 = "0sck2mq4bwyh5iv51jpbywzwhc47ci1q5yd7pqr68xnsz7b3b55k"; - }; - } - { - goPackagePath = "golang.org/x/sys"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/sys"; - rev = "d8f5ea21b9295e315e612b4bcf4bedea93454d4d"; - sha256 = "1gy2y20glqqqcmmrcx2wrvk4h74h8im1nxvzi91i1mxjk7p185mv"; - }; - } - { - goPackagePath = "golang.org/x/text"; - fetch = { - type = "git"; - url = "https://go.googlesource.com/text"; - rev = "3bd178b88a8180be2df394a1fbb81313916f0e7b"; - sha256 = "137pp3gz8ll08q0q434dn6472bbkv81h72qqqm9idhf7cc6f51w9"; - }; - } - { - goPackagePath = "gopkg.in/yaml.v2"; - fetch = { - type = "git"; - url = "https://github.com/go-yaml/yaml"; - rev = "25c4ec802a7d637f88d584ab26798e94ad14c13b"; - sha256 = "053mknsl3xhjscmd552005xnwbfcg0z2iphvbvj3wi0w3pvmlw44"; - }; - } -]
\ No newline at end of file diff --git a/nixpkgs/pkgs/applications/misc/gxmessage/default.nix b/nixpkgs/pkgs/applications/misc/gxmessage/default.nix index a7313e7c969..ce0a6963ea9 100644 --- a/nixpkgs/pkgs/applications/misc/gxmessage/default.nix +++ b/nixpkgs/pkgs/applications/misc/gxmessage/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchurl, gtk3, intltool, pkgconfig, texinfo, hicolor-icon-theme }: +{ stdenv, fetchurl, gtk3, intltool, pkgconfig, texinfo }: stdenv.mkDerivation rec { pname = "gxmessage"; @@ -10,7 +10,7 @@ stdenv.mkDerivation rec { }; nativeBuildInputs = [ pkgconfig ]; - buildInputs = [ intltool gtk3 texinfo hicolor-icon-theme ]; + buildInputs = [ intltool gtk3 texinfo ]; meta = { description = "A GTK enabled dropin replacement for xmessage"; diff --git a/nixpkgs/pkgs/applications/misc/kupfer/default.nix b/nixpkgs/pkgs/applications/misc/kupfer/default.nix index 81cba3f5637..c7f54990107 100644 --- a/nixpkgs/pkgs/applications/misc/kupfer/default.nix +++ b/nixpkgs/pkgs/applications/misc/kupfer/default.nix @@ -6,7 +6,6 @@ , gtk3 , libwnck3 , keybinder3 -, hicolor-icon-theme , wrapGAppsHook , wafHook }: @@ -27,7 +26,7 @@ buildPythonApplication rec { # For setup hook gobject-introspection wafHook ]; - buildInputs = [ hicolor-icon-theme docutils libwnck3 keybinder3 ]; + buildInputs = [ docutils libwnck3 keybinder3 ]; propagatedBuildInputs = [ pygobject3 gtk3 pyxdg dbus-python pycairo ]; # without strictDeps kupfer fails to build: Could not find the python module 'gi.repository.Gtk' diff --git a/nixpkgs/pkgs/applications/misc/megasync/default.nix b/nixpkgs/pkgs/applications/misc/megasync/default.nix index bc753c5a7a9..6e51e3cda76 100644 --- a/nixpkgs/pkgs/applications/misc/megasync/default.nix +++ b/nixpkgs/pkgs/applications/misc/megasync/default.nix @@ -7,7 +7,6 @@ , doxygen , fetchFromGitHub , ffmpeg -, hicolor-icon-theme , libmediainfo , libraw , libsodium @@ -51,7 +50,6 @@ mkDerivation rec { cryptopp curl ffmpeg - hicolor-icon-theme libmediainfo libraw libsodium diff --git a/nixpkgs/pkgs/applications/misc/nnn/default.nix b/nixpkgs/pkgs/applications/misc/nnn/default.nix index c38a27d55e6..38d69406da4 100644 --- a/nixpkgs/pkgs/applications/misc/nnn/default.nix +++ b/nixpkgs/pkgs/applications/misc/nnn/default.nix @@ -4,13 +4,13 @@ with stdenv.lib; stdenv.mkDerivation rec { pname = "nnn"; - version = "2.5"; + version = "2.7"; src = fetchFromGitHub { owner = "jarun"; repo = pname; rev = "v${version}"; - sha256 = "0hvb0q6jg2nmvb40q43jj7v45afkjgcq6q9ldmmrh5558d0n65cw"; + sha256 = "19kiikjblkq3bx2j6h3f2d467p2v582albqr7nbrm9c1yg4qx38z"; }; configFile = optionalString (conf!=null) (builtins.toFile "nnn.h" conf); @@ -23,9 +23,9 @@ stdenv.mkDerivation rec { # shell completions postInstall = '' - install -Dm555 scripts/auto-completion/bash/nnn-completion.bash $out/share/bash-completion/completions/nnn.bash - install -Dm555 scripts/auto-completion/zsh/_nnn -t $out/share/zsh/site-functions - install -Dm555 scripts/auto-completion/fish/nnn.fish -t $out/share/fish/vendor_completions.d + install -Dm555 misc/auto-completion/bash/nnn-completion.bash $out/share/bash-completion/completions/nnn.bash + install -Dm555 misc/auto-completion/zsh/_nnn -t $out/share/zsh/site-functions + install -Dm555 misc/auto-completion/fish/nnn.fish -t $out/share/fish/vendor_completions.d ''; meta = { diff --git a/nixpkgs/pkgs/applications/misc/orca/default.nix b/nixpkgs/pkgs/applications/misc/orca/default.nix index 4009bbb6da1..37b93eecf39 100644 --- a/nixpkgs/pkgs/applications/misc/orca/default.nix +++ b/nixpkgs/pkgs/applications/misc/orca/default.nix @@ -1,7 +1,7 @@ { stdenv, pkgconfig, fetchurl, buildPythonApplication , autoreconfHook, wrapGAppsHook, gobject-introspection , gettext, yelp-tools, itstool, libxmlxx3 -, python, pygobject3, gtk3, gnome3, substituteAll, hicolor-icon-theme +, python, pygobject3, gtk3, gnome3, substituteAll , at-spi2-atk, at-spi2-core, pyatspi, dbus, dbus-python, pyxdg , xkbcomp, procps, lsof, coreutils, gsettings-desktop-schemas , speechd, brltty, liblouis, setproctitle, gst_all_1, gst-python @@ -31,7 +31,6 @@ buildPythonApplication rec { nativeBuildInputs = [ autoreconfHook wrapGAppsHook pkgconfig libxmlxx3 gettext yelp-tools itstool gobject-introspection - hicolor-icon-theme # setup-hook ]; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/applications/misc/pdfarranger/default.nix b/nixpkgs/pkgs/applications/misc/pdfarranger/default.nix new file mode 100644 index 00000000000..ed54ccbb0a1 --- /dev/null +++ b/nixpkgs/pkgs/applications/misc/pdfarranger/default.nix @@ -0,0 +1,44 @@ +{ stdenv, fetchFromGitHub, lib +, wrapGAppsHook, intltool +, python3Packages, gtk3, poppler_gi +}: + +python3Packages.buildPythonApplication rec { + pname = "pdfarranger"; + version = "1.3.1"; + + src = fetchFromGitHub { + owner = "jeromerobert"; + repo = pname; + rev = version; + sha256 = "1f8m8r81322i97wkqpmf7a4kiwnq244n6cnbldh03jc49vwq2kxx"; + }; + + nativeBuildInputs = [ + wrapGAppsHook intltool + ] ++ (with python3Packages; [ + setuptools distutils_extra + ]); + + buildInputs = [ + gtk3 poppler_gi + ]; + + propagatedBuildInputs = with python3Packages; [ + pygobject3 + pypdf2 + ]; + + # incompatible with wrapGAppsHook + strictDeps = false; + + doCheck = false; # no tests + + meta = with lib; { + inherit (src.meta) homepage; + description = "Merge or split pdf documents and rotate, crop and rearrange their pages using an interactive and intuitive graphical interface"; + platforms = platforms.linux; + maintainers = with maintainers; [ symphorien ]; + license = licenses.gpl3; + }; +} diff --git a/nixpkgs/pkgs/applications/misc/prusa-slicer/default.nix b/nixpkgs/pkgs/applications/misc/prusa-slicer/default.nix index a795c448516..f3cd6fdb0f6 100644 --- a/nixpkgs/pkgs/applications/misc/prusa-slicer/default.nix +++ b/nixpkgs/pkgs/applications/misc/prusa-slicer/default.nix @@ -1,5 +1,5 @@ { stdenv, lib, fetchFromGitHub, makeWrapper, cmake, pkgconfig -, boost, curl, expat, glew, libpng, tbb, wxGTK30 +, boost, cereal, curl, eigen, expat, glew, libpng, tbb, wxGTK30 , gtest, nlopt, xorg, makeDesktopItem }: let @@ -9,7 +9,7 @@ let in stdenv.mkDerivation rec { pname = "prusa-slicer"; - version = "2.0.0"; + version = "2.1.0"; enableParallelBuilding = true; @@ -19,11 +19,11 @@ stdenv.mkDerivation rec { pkgconfig ]; - # We could add Eigen, but it doesn't currently compile with the version in - # nixpkgs. buildInputs = [ boost + cereal curl + eigen expat glew libpng @@ -40,10 +40,15 @@ stdenv.mkDerivation rec { # We need to set the path via the NLOPT environment variable instead. NLOPT = nlopt; + # Disable compiler warnings that clutter the build log + # It seems to be a known issue for Eigen: + # http://eigen.tuxfamily.org/bz/show_bug.cgi?id=1221 + NIX_CFLAGS_COMPILE = "-Wno-ignored-attributes"; + prePatch = '' # In nix ioctls.h isn't available from the standard kernel-headers package - # on other distributions. As the copy in glibc seems to be identical to the - # one in the kernel, we use that one instead. + # like in other distributions. The copy in glibc seems to be identical to the + # one in the kernel though, so we use that one instead. sed -i 's|"/usr/include/asm-generic/ioctls.h"|<asm-generic/ioctls.h>|g' src/libslic3r/GCodeSender.cpp '' + lib.optionalString (lib.versionOlder "2.5" nloptVersion) '' # Since version 2.5.0 of nlopt we need to link to libnlopt, as libnlopt_cxx @@ -54,7 +59,7 @@ stdenv.mkDerivation rec { src = fetchFromGitHub { owner = "prusa3d"; repo = "PrusaSlicer"; - sha256 = "135wn2sza2f2kvbja1haxil5kx1b74lc1i7dsa35i1y3phabykhz"; + sha256 = "172nz01iiqfjzkpcbl78j6almq6av70l71jgrzrcdw6ham1wqnpr"; rev = "version_${version}"; }; diff --git a/nixpkgs/pkgs/applications/misc/redshift/default.nix b/nixpkgs/pkgs/applications/misc/redshift/default.nix index ad27c9b13a6..b751560b301 100644 --- a/nixpkgs/pkgs/applications/misc/redshift/default.nix +++ b/nixpkgs/pkgs/applications/misc/redshift/default.nix @@ -1,6 +1,6 @@ { stdenv, fetchFromGitHub, autoconf, automake, gettext, intltool , libtool, pkgconfig, wrapGAppsHook, wrapPython, gobject-introspection -, gtk3, python, pygobject3, hicolor-icon-theme, pyxdg +, gtk3, python, pygobject3, pyxdg , withQuartz ? stdenv.isDarwin, ApplicationServices , withRandr ? stdenv.isLinux, libxcb @@ -50,7 +50,6 @@ stdenv.mkDerivation rec { gobject-introspection gtk3 python - hicolor-icon-theme ] ++ stdenv.lib.optional withRandr libxcb ++ stdenv.lib.optional withGeoclue geoclue ++ stdenv.lib.optional withDrm libdrm diff --git a/nixpkgs/pkgs/applications/misc/rescuetime/default.nix b/nixpkgs/pkgs/applications/misc/rescuetime/default.nix index cd232088b5b..13ae1f1ba7d 100644 --- a/nixpkgs/pkgs/applications/misc/rescuetime/default.nix +++ b/nixpkgs/pkgs/applications/misc/rescuetime/default.nix @@ -1,21 +1,21 @@ -{ stdenv, lib, fetchurl, dpkg, patchelf, qt5, libXtst, libXext, libX11, makeWrapper, libXScrnSaver }: +{ stdenv, lib, fetchurl, dpkg, patchelf, qt5, libXtst, libXext, libX11, mkDerivation, makeWrapper, libXScrnSaver }: let src = if stdenv.hostPlatform.system == "i686-linux" then fetchurl { name = "rescuetime-installer.deb"; url = "https://www.rescuetime.com/installers/rescuetime_current_i386.deb"; - sha256 = "03bky9vja7fijz45n44b6gawd6q8yd30nx6nya9lqdlxd1bkqmji"; + sha256 = "0mw8dh9z7pqan0yrhycmv39h5c1sc4mbw5l02cfnn17cy75xdiay"; } else fetchurl { name = "rescuetime-installer.deb"; url = "https://www.rescuetime.com/installers/rescuetime_current_amd64.deb"; - sha256 = "03bky9vja7fijz45n44b6gawd6q8yd30nx6nya9lqdlxd1bkqmji"; + sha256 = "1a6pc8vi2ab721kzyhvg6bmw24dr85dgmx2m9j9vbf3jyr85fv10"; }; -in stdenv.mkDerivation { +in mkDerivation { # https://www.rescuetime.com/updates/linux_release_notes.html - name = "rescuetime-2.14.3.1"; + name = "rescuetime-2.14.5.2"; inherit src; - buildInputs = [ dpkg makeWrapper ]; + nativeBuildInputs = [ dpkg ]; # avoid https://github.com/NixOS/patchelf/issues/99 dontStrip = true; unpackPhase = '' diff --git a/nixpkgs/pkgs/applications/misc/roxterm/default.nix b/nixpkgs/pkgs/applications/misc/roxterm/default.nix index efd2ddad221..d02296f0e16 100644 --- a/nixpkgs/pkgs/applications/misc/roxterm/default.nix +++ b/nixpkgs/pkgs/applications/misc/roxterm/default.nix @@ -1,5 +1,5 @@ { at-spi2-core, cmake, dbus, dbus-glib, docbook_xsl, epoxy, fetchpatch, fetchFromGitHub -, glib, gtk3, harfbuzz, hicolor-icon-theme, libXdmcp, libXtst, libpthreadstubs +, glib, gtk3, harfbuzz, libXdmcp, libXtst, libpthreadstubs , libselinux, libsepol, libtasn1, libxkbcommon, libxslt, p11-kit, pcre2 , pkgconfig, stdenv, utillinuxMinimal, vte, wrapGAppsHook, xmlto }: @@ -29,7 +29,7 @@ stdenv.mkDerivation rec { buildInputs = [ gtk3 dbus dbus-glib vte pcre2 harfbuzz libpthreadstubs libXdmcp - utillinuxMinimal glib hicolor-icon-theme docbook_xsl xmlto libselinux + utillinuxMinimal glib docbook_xsl xmlto libselinux libsepol libxkbcommon epoxy at-spi2-core libXtst libtasn1 p11-kit ]; diff --git a/nixpkgs/pkgs/applications/misc/synapse/default.nix b/nixpkgs/pkgs/applications/misc/synapse/default.nix index c314e8ffe5a..c12f5b17679 100644 --- a/nixpkgs/pkgs/applications/misc/synapse/default.nix +++ b/nixpkgs/pkgs/applications/misc/synapse/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, gettext, pkgconfig, glib, libnotify, gtk3, libgee -, keybinder3, json-glib, zeitgeist, vala, hicolor-icon-theme, gobject-introspection +, keybinder3, json-glib, zeitgeist, vala, gobject-introspection }: let @@ -20,7 +20,6 @@ in stdenv.mkDerivation rec { ]; buildInputs = [ glib libnotify gtk3 libgee keybinder3 json-glib zeitgeist - hicolor-icon-theme ]; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/applications/misc/tilix/default.nix b/nixpkgs/pkgs/applications/misc/tilix/default.nix index 326b24cc672..922b3fd2028 100644 --- a/nixpkgs/pkgs/applications/misc/tilix/default.nix +++ b/nixpkgs/pkgs/applications/misc/tilix/default.nix @@ -16,7 +16,6 @@ , glib , wrapGAppsHook , libunwind -, hicolor-icon-theme }: stdenv.mkDerivation { @@ -38,7 +37,6 @@ stdenv.mkDerivation { nativeBuildInputs = [ desktop-file-utils dmd - hicolor-icon-theme # for setup-hook meson ninja pkgconfig diff --git a/nixpkgs/pkgs/applications/misc/tint2/default.nix b/nixpkgs/pkgs/applications/misc/tint2/default.nix index e612fb10ff8..c85feff4360 100644 --- a/nixpkgs/pkgs/applications/misc/tint2/default.nix +++ b/nixpkgs/pkgs/applications/misc/tint2/default.nix @@ -1,7 +1,7 @@ { stdenv, fetchFromGitLab, pkgconfig, cmake, gettext, cairo, pango, pcre , glib, imlib2, gtk2, libXinerama, libXrender, libXcomposite, libXdamage , libX11, libXrandr, librsvg, libpthreadstubs, libXdmcp -, libstartup_notification, hicolor-icon-theme, wrapGAppsHook +, libstartup_notification, wrapGAppsHook }: stdenv.mkDerivation rec { @@ -21,7 +21,7 @@ stdenv.mkDerivation rec { buildInputs = [ cairo pango pcre glib imlib2 gtk2 libXinerama libXrender libXcomposite libXdamage libX11 libXrandr librsvg libpthreadstubs - libXdmcp libstartup_notification hicolor-icon-theme ]; + libXdmcp libstartup_notification ]; postPatch = '' for f in ./src/launcher/apps-common.c \ diff --git a/nixpkgs/pkgs/applications/misc/tootle/default.nix b/nixpkgs/pkgs/applications/misc/tootle/default.nix index 5442194598d..15c675c902f 100644 --- a/nixpkgs/pkgs/applications/misc/tootle/default.nix +++ b/nixpkgs/pkgs/applications/misc/tootle/default.nix @@ -1,14 +1,25 @@ -{ stdenv, fetchFromGitHub -, vala, meson, ninja, pkgconfig, python3, libgee, gsettings-desktop-schemas -, gnome3, pantheon, gobject-introspection, wrapGAppsHook -, gtk3, json-glib, glib, glib-networking, hicolor-icon-theme +{ stdenv +, fetchFromGitHub +, fetchpatch +, vala +, meson +, ninja +, pkgconfig +, python3 +, libgee +, gsettings-desktop-schemas +, gnome3 +, pantheon +, wrapGAppsHook +, gtk3 +, json-glib +, glib +, glib-networking }: -let +stdenv.mkDerivation rec { pname = "tootle"; version = "0.2.0"; -in stdenv.mkDerivation { - name = "${pname}-${version}"; src = fetchFromGitHub { owner = "bleakgrey"; @@ -18,7 +29,6 @@ in stdenv.mkDerivation { }; nativeBuildInputs = [ - gobject-introspection meson ninja pkgconfig @@ -26,20 +36,36 @@ in stdenv.mkDerivation { vala wrapGAppsHook ]; + buildInputs = [ - gtk3 pantheon.granite json-glib glib glib-networking hicolor-icon-theme - libgee gnome3.libsoup gsettings-desktop-schemas + glib + glib-networking + gnome3.libsoup + gsettings-desktop-schemas + gtk3 + json-glib + libgee + pantheon.granite + ]; + + patches = [ + # Fix build with Vala 0.46 + # https://github.com/bleakgrey/tootle/pull/164 + (fetchpatch { + url = "https://github.com/worldofpeace/tootle/commit/0a88bdad6d969ead1e4058b1a19675c9d6857b16.patch"; + sha256 = "0xyx00pgswnhxxbsxngsm6khvlbfcl6ic5wv5n64x7klk8rzh6cm"; + }) ]; postPatch = '' - chmod +x ./meson/post_install.py - patchShebangs ./meson/post_install.py + chmod +x meson/post_install.py + patchShebangs meson/post_install.py ''; meta = with stdenv.lib; { description = "Simple Mastodon client designed for elementary OS"; - homepage = https://github.com/bleakgrey/tootle; - license = licenses.gpl3; + homepage = https://github.com/bleakgrey/tootle; + license = licenses.gpl3; maintainers = with maintainers; [ dtzWill ]; }; } diff --git a/nixpkgs/pkgs/applications/misc/udiskie/default.nix b/nixpkgs/pkgs/applications/misc/udiskie/default.nix index 459104aba63..63892439fa0 100644 --- a/nixpkgs/pkgs/applications/misc/udiskie/default.nix +++ b/nixpkgs/pkgs/applications/misc/udiskie/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchFromGitHub, asciidoc-full, gettext -, gobject-introspection, gtk3, hicolor-icon-theme, libappindicator-gtk3, libnotify, librsvg +, gobject-introspection, gtk3, libappindicator-gtk3, libnotify, librsvg , udisks2, wrapGAppsHook , python3Packages }: @@ -23,7 +23,6 @@ python3Packages.buildPythonApplication rec { ]; buildInputs = [ - hicolor-icon-theme librsvg # required for loading svg icons (udiskie uses svg icons) gobject-introspection libnotify diff --git a/nixpkgs/pkgs/applications/misc/ulauncher/default.nix b/nixpkgs/pkgs/applications/misc/ulauncher/default.nix index 3db6f6ae565..9fa284aba43 100644 --- a/nixpkgs/pkgs/applications/misc/ulauncher/default.nix +++ b/nixpkgs/pkgs/applications/misc/ulauncher/default.nix @@ -10,7 +10,6 @@ , libappindicator , intltool , wmctrl -, hicolor-icon-theme , xvfb_run }: @@ -36,7 +35,6 @@ python27Packages.buildPythonApplication rec { buildInputs = [ gnome3.adwaita-icon-theme gobject-introspection - hicolor-icon-theme keybinder3 libappindicator libnotify diff --git a/nixpkgs/pkgs/applications/misc/viking/default.nix b/nixpkgs/pkgs/applications/misc/viking/default.nix index 2d8a860e7e8..c5ba35d9747 100644 --- a/nixpkgs/pkgs/applications/misc/viking/default.nix +++ b/nixpkgs/pkgs/applications/misc/viking/default.nix @@ -1,6 +1,6 @@ { fetchurl, stdenv, makeWrapper, pkgconfig, intltool, gettext, gtk2, expat, curl , gpsd, bc, file, gnome-doc-utils, libexif, libxml2, libxslt, scrollkeeper -, docbook_xml_dtd_412, gexiv2, sqlite, gpsbabel, expect, hicolor-icon-theme +, docbook_xml_dtd_412, gexiv2, sqlite, gpsbabel, expect , geoclue2, liboauth, nettle }: stdenv.mkDerivation rec { @@ -14,7 +14,7 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ pkgconfig ]; buildInputs = [ makeWrapper intltool gettext gtk2 expat curl gpsd bc file gnome-doc-utils - libexif libxml2 libxslt scrollkeeper docbook_xml_dtd_412 gexiv2 sqlite hicolor-icon-theme + libexif libxml2 libxslt scrollkeeper docbook_xml_dtd_412 gexiv2 sqlite geoclue2 liboauth nettle ]; diff --git a/nixpkgs/pkgs/applications/misc/vit/default.nix b/nixpkgs/pkgs/applications/misc/vit/default.nix index 3eb797f182e..9953af19d90 100644 --- a/nixpkgs/pkgs/applications/misc/vit/default.nix +++ b/nixpkgs/pkgs/applications/misc/vit/default.nix @@ -1,40 +1,37 @@ -{ stdenv, fetchFromGitHub -, makeWrapper, which -, taskwarrior, ncurses, perlPackages }: +{ lib +, python3Packages +, taskwarrior }: -stdenv.mkDerivation rec { +with python3Packages; + +buildPythonApplication rec { pname = "vit"; - version = "1.3"; + version = "2.0.0"; + disabled = lib.versionOlder python.version "3.6"; - src = fetchFromGitHub { - owner = "scottkosty"; - repo = pname; - rev = "v${version}"; - sha256 = "0a34rh5w8393wf7jwwr0f74rp1zv2vz606z5j8sr7w19k352ijip"; + src = fetchPypi { + inherit pname version; + sha256 = "5282d8076d9814d9248071aec8784cffbd968601542533ccb28ca61d1d08205e"; }; - preConfigure = '' - substituteInPlace Makefile.in \ - --replace sudo "" - substituteInPlace configure \ - --replace /usr/bin/perl ${perlPackages.perl}/bin/perl - substituteInPlace cmdline.pl \ - --replace "view " "vim -R " - ''; + propagatedBuildInputs = [ + pytz + tasklib + tzlocal + urwid + ]; - postInstall = '' - wrapProgram $out/bin/vit --prefix PERL5LIB : $PERL5LIB - ''; + makeWrapperArgs = [ "--suffix" "PATH" ":" "${taskwarrior}/bin" ]; - nativeBuildInputs = [ makeWrapper which ]; - buildInputs = [ taskwarrior ncurses ] - ++ (with perlPackages; [ perl Curses TryTiny TextCharWidth ]); + preCheck = '' + export TERM=''${TERM-linux} + ''; - meta = with stdenv.lib; { + meta = with lib; { + homepage = https://github.com/scottkosty/vit; description = "Visual Interactive Taskwarrior"; - maintainers = with maintainers; [ dtzWill ]; + maintainers = with maintainers; [ dtzWill arcnmx ]; platforms = platforms.all; - license = licenses.gpl3; + license = licenses.mit; }; } - diff --git a/nixpkgs/pkgs/applications/misc/xpad/default.nix b/nixpkgs/pkgs/applications/misc/xpad/default.nix index bc91083892d..40f7ef9da08 100644 --- a/nixpkgs/pkgs/applications/misc/xpad/default.nix +++ b/nixpkgs/pkgs/applications/misc/xpad/default.nix @@ -1,6 +1,6 @@ { stdenv, fetchurl , autoreconfHook, pkgconfig, wrapGAppsHook -, glib, intltool, gtk3, gtksourceview, hicolor-icon-theme }: +, glib, intltool, gtk3, gtksourceview }: stdenv.mkDerivation rec { pname = "xpad"; @@ -13,7 +13,7 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ autoreconfHook pkgconfig wrapGAppsHook ]; - buildInputs = [ glib intltool gtk3 gtksourceview hicolor-icon-theme ]; + buildInputs = [ glib intltool gtk3 gtksourceview ]; meta = with stdenv.lib; { description = "A sticky note application for jotting down things to remember"; diff --git a/nixpkgs/pkgs/applications/networking/browsers/chromium/plugins.nix b/nixpkgs/pkgs/applications/networking/browsers/chromium/plugins.nix index a80210542c5..05711856743 100644 --- a/nixpkgs/pkgs/applications/networking/browsers/chromium/plugins.nix +++ b/nixpkgs/pkgs/applications/networking/browsers/chromium/plugins.nix @@ -45,11 +45,11 @@ let flash = stdenv.mkDerivation rec { pname = "flashplayer-ppapi"; - version = "32.0.0.255"; + version = "32.0.0.270"; src = fetchzip { url = "https://fpdownload.adobe.com/pub/flashplayer/pdc/${version}/flash_player_ppapi_linux.x86_64.tar.gz"; - sha256 = "1rqb54kqxq66vvqk5yrr3rsy3wcj9r9wnkngk27c7jayzm6bwgvv"; + sha256 = "1z8nfw7b3dsy79gb50bmmdjz66j5gx6m0hkw1abp35xdgh2sz2ak"; stripRoot = false; }; @@ -81,7 +81,11 @@ let dontStrip = true; - meta.platforms = platforms.x86_64; + meta = { + license = stdenv.lib.licenses.unfree; + maintainers = with stdenv.lib.maintainers; [ taku0 ]; + platforms = platforms.x86_64; + }; }; in { diff --git a/nixpkgs/pkgs/applications/networking/browsers/ephemeral/default.nix b/nixpkgs/pkgs/applications/networking/browsers/ephemeral/default.nix index cd9a8a8f051..f3fc9ab6ecb 100644 --- a/nixpkgs/pkgs/applications/networking/browsers/ephemeral/default.nix +++ b/nixpkgs/pkgs/applications/networking/browsers/ephemeral/default.nix @@ -5,7 +5,6 @@ , gettext , glib , gtk3 -, hicolor-icon-theme , libgee , libdazzle , meson @@ -20,13 +19,13 @@ stdenv.mkDerivation rec { pname = "ephemeral"; - version = "5.4.0"; + version = "6.0.0"; src = fetchFromGitHub { owner = "cassidyjames"; repo = "ephemeral"; rev = version; - sha256 = "1bl5x79nvzq49azcghc2sx9l709524zixh7py8ddccfnhrq641w8"; + sha256 = "0g9rrx41grmgf4nn2pp17yhjxxayk826gs6nmkfdnimd4gmlf3nk"; }; nativeBuildInputs = [ @@ -44,7 +43,6 @@ stdenv.mkDerivation rec { glib glib-networking gtk3 - hicolor-icon-theme libdazzle libgee pantheon.granite diff --git a/nixpkgs/pkgs/applications/networking/browsers/mozilla-plugins/flashplayer/default.nix b/nixpkgs/pkgs/applications/networking/browsers/mozilla-plugins/flashplayer/default.nix index fb3a6723817..29954e4129e 100644 --- a/nixpkgs/pkgs/applications/networking/browsers/mozilla-plugins/flashplayer/default.nix +++ b/nixpkgs/pkgs/applications/networking/browsers/mozilla-plugins/flashplayer/default.nix @@ -74,7 +74,7 @@ let in stdenv.mkDerivation rec { pname = "flashplayer"; - version = "32.0.0.255"; + version = "32.0.0.270"; src = fetchurl { url = @@ -85,14 +85,14 @@ stdenv.mkDerivation rec { sha256 = if debug then if arch == "x86_64" then - "1hd5z8qmki36k2wdwgg3v4sj32g8590r5563gdrjrk7bmrqfjnji" + "1c3dn4gkl40i5sjkvpbkn9fl82vjhy1v7dhrayk3ncfsxcyvbcm0" else - "0y13bxdgkxaqsyab09skiqj8dfjw76n2lr7p525ba8lbfbc8xj52" + "1g7i9mihn5g9i71xyf805k19yk41vsr85gzk87gm426m0hcgg89i" else if arch == "x86_64" then - "0qkslkaiw3c9xk1rjcl4x9d0fi6i91k7g01mf0gq28wgzcyz4cw7" + "16lxgkbr2hg49vhc7414zkh1kblhysf779854faay308ml3i5kdw" else - "0qblmaa3nq1g7825yhvz98pvd1591q3q7bsrhv5bbhdbmb9c1qd5"; + "0jrdzm8pw7aq32w7m4rvkhj7mmqyddh5yxpj7q3d9hxrwshkikvj"; }; nativeBuildInputs = [ unzip ]; diff --git a/nixpkgs/pkgs/applications/networking/browsers/mozilla-plugins/flashplayer/standalone.nix b/nixpkgs/pkgs/applications/networking/browsers/mozilla-plugins/flashplayer/standalone.nix index 527eb261006..27ec18aa6c8 100644 --- a/nixpkgs/pkgs/applications/networking/browsers/mozilla-plugins/flashplayer/standalone.nix +++ b/nixpkgs/pkgs/applications/networking/browsers/mozilla-plugins/flashplayer/standalone.nix @@ -50,7 +50,7 @@ stdenv.mkDerivation { pname = "flashplayer-standalone"; - version = "32.0.0.255"; + version = "32.0.0.270"; src = fetchurl { url = @@ -60,9 +60,9 @@ stdenv.mkDerivation { "https://fpdownload.macromedia.com/pub/flashplayer/updaters/32/flash_player_sa_linux.x86_64.tar.gz"; sha256 = if debug then - "1igc23mljmw1bw6fwx3rwpz7kxiy8n5znkng20w3yin2zh8qw8sy" + "0k5azrl92hkbn7adjz7s2lv8h59n7gsjrcprqdc485i4f7sjmkwj" else - "0rfvgx1g0s8wswwpmfjx6p59yh1cxya3x3bczbissrq4rcb1v315"; + "1la5s4wxchfpl8in576xj675yrg84pify22pwf063h0jg3rdgi68"; }; nativeBuildInputs = [ unzip ]; @@ -97,7 +97,7 @@ stdenv.mkDerivation { description = "Adobe Flash Player standalone executable"; homepage = https://www.adobe.com/support/flashplayer/debug_downloads.html; license = stdenv.lib.licenses.unfree; - maintainers = []; + maintainers = with stdenv.lib.maintainers; [ taku0 ]; platforms = [ "x86_64-linux" ]; # Application crashed with an unhandled SIGSEGV # Not on all systems, though. Video driver problem? diff --git a/nixpkgs/pkgs/applications/networking/browsers/next/default.nix b/nixpkgs/pkgs/applications/networking/browsers/next/default.nix index 2b2e173342c..9b537c55337 100644 --- a/nixpkgs/pkgs/applications/networking/browsers/next/default.nix +++ b/nixpkgs/pkgs/applications/networking/browsers/next/default.nix @@ -8,13 +8,13 @@ stdenv.mkDerivation rec { pname = "next"; - version = "1.3.1"; + version = "1.3.4"; src = fetchFromGitHub { owner = "atlas-engineer"; repo = "next"; rev = version; - sha256 = "01fn1f080ydk0wj1bwkyakqz93bdq9xb5x8qz820jpl9id17bqgj"; + sha256 = "00iqv4xarabl98gdl1rzqkc5v0vfljx1nawsxqsx9x3a9mnxmgxi"; }; # Stripping destroys the generated SBCL image @@ -25,32 +25,38 @@ stdenv.mkDerivation rec { --replace "next-gtk-webkit" "${next-gtk-webkit}/bin/next-gtk-webkit" ''; - nativeBuildInputs = [ sbcl makeWrapper ]; + nativeBuildInputs = + [ sbcl makeWrapper ] ++ (with lispPackages; + [ prove-asdf trivial-features ]); + buildInputs = with lispPackages; [ - trivial-features - trivial-garbage alexandria bordeaux-threads + cl-annot + cl-ansi-text + cl-css + cl-hooks cl-json cl-markup cl-ppcre cl-ppcre-unicode + cl-prevalence closer-mop + dbus dexador ironclad + local-time + log4cl lparallel + mk-string-metrics parenscript quri - cl-css - log4cl - mk-string-metrics sqlite str swank trivia trivial-clipboard unix-opts - dbus ]; propagatedBuildInputs = [ next-gtk-webkit ]; diff --git a/nixpkgs/pkgs/applications/networking/browsers/surf/default.nix b/nixpkgs/pkgs/applications/networking/browsers/surf/default.nix index d9d4fda1aed..0437ab933bd 100644 --- a/nixpkgs/pkgs/applications/networking/browsers/surf/default.nix +++ b/nixpkgs/pkgs/applications/networking/browsers/surf/default.nix @@ -1,6 +1,7 @@ -{ stdenv, fetchurl +{ lib, stdenv, fetchurl , pkgconfig, wrapGAppsHook , glib, glib-networking, gsettings-desktop-schemas, gtk, libsoup, webkitgtk +, xorg, dmenu, findutils, gnused, coreutils , patches ? null }: @@ -20,6 +21,16 @@ stdenv.mkDerivation rec { installFlags = [ "PREFIX=$(out)" ]; + # Add run-time dependencies to PATH. Append them to PATH so the user can + # override the dependencies with their own PATH. + preFixup = let + depsPath = lib.makeBinPath [ xorg.xprop dmenu findutils gnused coreutils ]; + in '' + gappsWrapperArgs+=( + --suffix PATH : ${depsPath} + ) + ''; + meta = with stdenv.lib; { description = "A simple web browser based on WebKit/GTK"; longDescription = '' diff --git a/nixpkgs/pkgs/applications/networking/browsers/tor-browser-bundle-bin/default.nix b/nixpkgs/pkgs/applications/networking/browsers/tor-browser-bundle-bin/default.nix index 5dfda424acf..34372cb29d9 100644 --- a/nixpkgs/pkgs/applications/networking/browsers/tor-browser-bundle-bin/default.nix +++ b/nixpkgs/pkgs/applications/networking/browsers/tor-browser-bundle-bin/default.nix @@ -379,7 +379,11 @@ stdenv.mkDerivation rec { cp $desktopItem/share/applications"/"* $out/share/applications sed -i $out/share/applications/torbrowser.desktop \ -e "s,Exec=.*,Exec=$out/bin/tor-browser," \ - -e "s,Icon=.*,Icon=web-browser," + -e "s,Icon=.*,Icon=tor-browser," + for i in 16 32 48 64 128; do + mkdir -p $out/share/icons/hicolor/''${i}x''${i}/apps/ + ln -s $out/share/tor-browser/browser/chrome/icons/default/default$i.png $out/share/icons/hicolor/''${i}x''${i}/apps/tor-browser.png + done # Check installed apps echo "Checking bundled Tor ..." diff --git a/nixpkgs/pkgs/applications/networking/browsers/vivaldi/default.nix b/nixpkgs/pkgs/applications/networking/browsers/vivaldi/default.nix index c95a996abeb..1297a461831 100644 --- a/nixpkgs/pkgs/applications/networking/browsers/vivaldi/default.nix +++ b/nixpkgs/pkgs/applications/networking/browsers/vivaldi/default.nix @@ -17,11 +17,11 @@ let vivaldiName = if isSnapshot then "vivaldi-snapshot" else "vivaldi"; in stdenv.mkDerivation rec { pname = "vivaldi"; - version = "2.8.1664.40-1"; + version = "2.8.1664.44-1"; src = fetchurl { url = "https://downloads.vivaldi.com/${branch}/vivaldi-${branch}_${version}_amd64.deb"; - sha256 = "07v7p3r9yc566xkwhiazd80pj2d6rcvs3xnbnwjambi1rajbs5sx"; + sha256 = "0z1d03zw0jhvi14n06qfdr1n63idq56ly7mgiv27s21zvdma887k"; }; unpackPhase = '' diff --git a/nixpkgs/pkgs/applications/networking/cluster/kubecfg/default.nix b/nixpkgs/pkgs/applications/networking/cluster/kubecfg/default.nix index 3d75ef543bc..69d013e3f03 100644 --- a/nixpkgs/pkgs/applications/networking/cluster/kubecfg/default.nix +++ b/nixpkgs/pkgs/applications/networking/cluster/kubecfg/default.nix @@ -1,6 +1,6 @@ { lib, buildGoPackage, fetchFromGitHub, ... }: -let version = "0.12.5"; in +let version = "0.13.1"; in buildGoPackage { pname = "kubecfg"; @@ -10,7 +10,7 @@ buildGoPackage { owner = "bitnami"; repo = "kubecfg"; rev = "v${version}"; - sha256 = "0pn37qkwn3kdsd0z3qxk95lqjn2zak7gkk0pwlqp26jmrx0vv18l"; + sha256 = "0x2mg13p8r1sgqr1bbzh57kfymb8z392y43djgks2agc7rjnd45f"; }; goPackagePath = "github.com/bitnami/kubecfg"; diff --git a/nixpkgs/pkgs/applications/networking/cluster/terraform-landscape/default.nix b/nixpkgs/pkgs/applications/networking/cluster/terraform-landscape/default.nix index 6973938b60d..32f6680b2f8 100644 --- a/nixpkgs/pkgs/applications/networking/cluster/terraform-landscape/default.nix +++ b/nixpkgs/pkgs/applications/networking/cluster/terraform-landscape/default.nix @@ -11,7 +11,7 @@ bundlerApp { meta = with lib; { description = "Improve Terraform's plan output to be easier to read and understand"; homepage = https://github.com/coinbase/terraform-landscape; - license = with licenses; apsl20; + license = with licenses; asl20; maintainers = with maintainers; [ mbode manveru nicknovitski ]; platforms = platforms.unix; }; diff --git a/nixpkgs/pkgs/applications/networking/cluster/terraform-providers/data.nix b/nixpkgs/pkgs/applications/networking/cluster/terraform-providers/data.nix index 16056d9185b..fcc55c5e1d0 100644 --- a/nixpkgs/pkgs/applications/networking/cluster/terraform-providers/data.nix +++ b/nixpkgs/pkgs/applications/networking/cluster/terraform-providers/data.nix @@ -714,4 +714,11 @@ version = "0.2.0"; sha256 = "0ic5b9djhnb1bs2bz3zdprgy3r55dng09xgc4d9l9fyp85g2amaz"; }; + wavefront = + { + owner = "spaceapegames"; + repo = "terraform-provider-wavefront"; + version = "2.1.0"; + sha256 = "1ir2wkg5mfng7h5544kar1arkjb5ffjhki5qr25a5x0rpwlg99sx"; + }; } diff --git a/nixpkgs/pkgs/applications/networking/cluster/terraform-providers/providers.txt b/nixpkgs/pkgs/applications/networking/cluster/terraform-providers/providers.txt index 286e3d6efe0..d04f85fe455 100644 --- a/nixpkgs/pkgs/applications/networking/cluster/terraform-providers/providers.txt +++ b/nixpkgs/pkgs/applications/networking/cluster/terraform-providers/providers.txt @@ -20,3 +20,6 @@ tweag/terraform-provider-secret # include terraform-provider-segment ajbosco/terraform-provider-segment + +# include terraform-provider-wavefront +spaceapegames/terraform-provider-wavefront diff --git a/nixpkgs/pkgs/applications/networking/cluster/tilt/default.nix b/nixpkgs/pkgs/applications/networking/cluster/tilt/default.nix index b4707327bc7..6cc7735efeb 100644 --- a/nixpkgs/pkgs/applications/networking/cluster/tilt/default.nix +++ b/nixpkgs/pkgs/applications/networking/cluster/tilt/default.nix @@ -5,20 +5,20 @@ buildGoPackage rec { /* Do not use "dev" as a version. If you do, Tilt will consider itself running in development environment and try to serve assets from the source tree, which is not there once build completes. */ - version = "0.10.4"; + version = "0.10.13"; src = fetchFromGitHub { owner = "windmilleng"; repo = pname; rev = "v${version}"; - sha256 = "0nxgmldbcaj91jq47qxpf6jqwvi9bhg243qchdkiliphybvilcrg"; + sha256 = "02xlqgmmn1a7pz6sliharz8l9fbn2raxqkm75qxdqs1ncbvgc65k"; }; goPackagePath = "github.com/windmilleng/tilt"; subPackages = [ "cmd/tilt" ]; - buildFlagsArray = ("-ldflags=-X main.version=${version} -X main.date=2019-08-14"); + buildFlagsArray = ("-ldflags=-X main.version=${version} -X main.date=2019-10-04"); meta = with stdenv.lib; { description = "Local development tool to manage your developer instance when your team deploys to Kubernetes in production"; diff --git a/nixpkgs/pkgs/applications/networking/feedreaders/feedreader/default.nix b/nixpkgs/pkgs/applications/networking/feedreaders/feedreader/default.nix index c2fda72e36a..350e96a94a7 100644 --- a/nixpkgs/pkgs/applications/networking/feedreaders/feedreader/default.nix +++ b/nixpkgs/pkgs/applications/networking/feedreaders/feedreader/default.nix @@ -1,6 +1,6 @@ { stdenv, fetchFromGitHub, meson, ninja, pkgconfig, vala, gettext, python3 , appstream-glib, desktop-file-utils, wrapGAppsHook, gnome-online-accounts, fetchpatch -, gtk3, libgee, libpeas, librest, webkitgtk, gsettings-desktop-schemas, hicolor-icon-theme +, gtk3, libgee, libpeas, librest, webkitgtk, gsettings-desktop-schemas , curl, glib, gnome3, gst_all_1, json-glib, libnotify, libsecret, sqlite, gumbo, libxml2 }: @@ -24,7 +24,6 @@ stdenv.mkDerivation rec { curl glib json-glib libnotify libsecret sqlite gumbo gtk3 libgee libpeas gnome3.libsoup librest webkitgtk gsettings-desktop-schemas gnome-online-accounts - hicolor-icon-theme # for setup hook ] ++ (with gst_all_1; [ gstreamer gst-plugins-base gst-plugins-good ]); diff --git a/nixpkgs/pkgs/applications/networking/ids/suricata/default.nix b/nixpkgs/pkgs/applications/networking/ids/suricata/default.nix index 3cd5fe4b8ea..62f755c4d7c 100644 --- a/nixpkgs/pkgs/applications/networking/ids/suricata/default.nix +++ b/nixpkgs/pkgs/applications/networking/ids/suricata/default.nix @@ -16,6 +16,7 @@ , libpcap , libyaml , luajit +, lz4 , nspr , nss , pcre @@ -29,11 +30,11 @@ in stdenv.mkDerivation rec { pname = "suricata"; - version = "4.1.4"; + version = "4.1.5"; src = fetchurl { url = "https://www.openinfosecfoundation.org/download/${pname}-${version}.tar.gz"; - sha256 = "02901wjf90171rhkymcgp0h48hkn3wv8iwrhz4d8ppraz68hv99d"; + sha256 = "0jy738rs3ds1gbn8hv26ck23z9k6pjrjxdpavkyn7znpbi9zdrff"; }; nativeBuildInputs = [ @@ -54,6 +55,7 @@ stdenv.mkDerivation rec { libpcap libyaml luajit + lz4 nspr nss pcre @@ -86,7 +88,7 @@ stdenv.mkDerivation rec { "--with-libnet-libraries=${libnet}/lib" ] ++ lib.optional hyperscanSupport [ - "--with-libhs-includes=${hyperscan}/include" + "--with-libhs-includes=${hyperscan.dev}/include/hs" "--with-libhs-libraries=${hyperscan}/lib" ] ++ lib.optional redisSupport [ "--enable-hiredis" ] diff --git a/nixpkgs/pkgs/applications/networking/instant-messengers/discord/default.nix b/nixpkgs/pkgs/applications/networking/instant-messengers/discord/default.nix index 6bedde3ebd6..a54c4f4955a 100644 --- a/nixpkgs/pkgs/applications/networking/instant-messengers/discord/default.nix +++ b/nixpkgs/pkgs/applications/networking/instant-messengers/discord/default.nix @@ -1,36 +1,36 @@ { branch ? "stable", pkgs }: - +# Generated by /maintainers/scripts/update-discord let inherit (pkgs) callPackage fetchurl; in { - stable = callPackage ./base.nix { + stable = callPackage ./base.nix rec { pname = "discord"; binaryName = "Discord"; desktopName = "Discord"; version = "0.0.9"; src = fetchurl { - url = "https://dl.discordapp.net/apps/linux/0.0.9/discord-0.0.9.tar.gz"; + url = "https://dl.discordapp.net/apps/linux/${version}/discord-${version}.tar.gz"; sha256 = "1i0f8id10rh2fx381hx151qckvvh8hbznfsfav8w0dfbd1bransf"; }; }; - ptb = callPackage ./base.nix { + ptb = callPackage ./base.nix rec { pname = "discord-ptb"; binaryName = "DiscordPTB"; desktopName = "Discord PTB"; version = "0.0.16"; src = fetchurl { - url = "https://dl-ptb.discordapp.net/apps/linux/0.0.16/discord-ptb-0.0.16.tar.gz"; + url = "https://dl-ptb.discordapp.net/apps/linux/${version}/discord-ptb-${version}.tar.gz"; sha256 = "1ia94xvzygim9rx1sjnnss518ggw0i20mhp9pby33q70ha35n0aq"; }; }; - canary = callPackage ./base.nix { + canary = callPackage ./base.nix rec { pname = "discord-canary"; binaryName = "DiscordCanary"; desktopName = "Discord Canary"; - version = "0.0.96"; + version = "0.0.97"; src = fetchurl { - url = "https://dl-canary.discordapp.net/apps/linux/0.0.96/discord-canary-0.0.96.tar.gz"; - sha256 = "1fxyh9v5xglwbgr5sidn0cv70qpzcd2q240wsv87k3nawhvfcwsp"; + url = "https://dl-canary.discordapp.net/apps/linux/${version}/discord-canary-${version}.tar.gz"; + sha256 = "17kwgk2kwrfqgjqmfv055gvlqq144gz7bywwrs6i2x7mimz4345x"; }; }; }.${branch} diff --git a/nixpkgs/pkgs/applications/networking/instant-messengers/fractal/default.nix b/nixpkgs/pkgs/applications/networking/instant-messengers/fractal/default.nix index bacf6b4338a..478d27fcfb3 100644 --- a/nixpkgs/pkgs/applications/networking/instant-messengers/fractal/default.nix +++ b/nixpkgs/pkgs/applications/networking/instant-messengers/fractal/default.nix @@ -10,7 +10,6 @@ , rustPlatform , pkgconfig , gtksourceview -, hicolor-icon-theme , glib , libhandy , gtk3 @@ -61,7 +60,6 @@ rustPlatform.buildRustPackage rec { gst_all_1.gstreamer gtk3 gtksourceview - hicolor-icon-theme libhandy openssl sqlite diff --git a/nixpkgs/pkgs/applications/networking/instant-messengers/psi-plus/default.nix b/nixpkgs/pkgs/applications/networking/instant-messengers/psi-plus/default.nix index 5ca28fca7a7..d7741bda646 100644 --- a/nixpkgs/pkgs/applications/networking/instant-messengers/psi-plus/default.nix +++ b/nixpkgs/pkgs/applications/networking/instant-messengers/psi-plus/default.nix @@ -1,24 +1,25 @@ { stdenv, fetchFromGitHub, cmake -, qt5, libidn, qca2-qt5, libXScrnSaver, hunspell +, qtbase, qtmultimedia, qtx11extras, qttools, qtwebengine +, libidn, qca2-qt5, qtkeychain, libXScrnSaver, hunspell , libgcrypt, libotr, html-tidy, libgpgerror, libsignal-protocol-c }: stdenv.mkDerivation rec { pname = "psi-plus"; - version = "1.4.504"; + version = "1.4.904"; src = fetchFromGitHub { owner = "psi-plus"; repo = "psi-plus-snapshots"; rev = version; - sha256 = "1nv1ynad2gcn7r8mm2w3kixmahaql7xax1lccsqyxqmj1r0klk8q"; + sha256 = "1bs7yk3qp91sm8nb9gna8vm59381afn1wfs7aii9yi29bhx6fw9h"; }; resources = fetchFromGitHub { owner = "psi-plus"; repo = "resources"; - rev = "d623f57db35eb5af81ccdf69b2cbe1c437190f29"; - sha256 = "024cyazyxka5vcbjrkkw32c5zw6aa70n50fdp6zh5v5c51d9ci8k"; + rev = "182c92ca0bcc055579d8c91bccba9efe157e77a9"; + sha256 = "06k7q63cxpifpzjnlw1snclkr2mwf9fh71cgfd40n7jgzswzwhpb"; }; postUnpack = '' @@ -32,8 +33,8 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ cmake ]; buildInputs = [ - qt5.qtbase qt5.qtmultimedia qt5.qtx11extras qt5.qttools qt5.qtwebkit - libidn qca2-qt5 libXScrnSaver hunspell + qtbase qtmultimedia qtx11extras qttools qtwebengine + libidn qca2-qt5 qtkeychain libXScrnSaver hunspell libgcrypt libotr html-tidy libgpgerror libsignal-protocol-c ]; @@ -44,6 +45,5 @@ stdenv.mkDerivation rec { maintainers = with maintainers; [ orivej ]; license = licenses.gpl2; platforms = platforms.linux; - broken = true; }; } diff --git a/nixpkgs/pkgs/applications/networking/irc/hexchat/default.nix b/nixpkgs/pkgs/applications/networking/irc/hexchat/default.nix index 331be19abc4..f537627f976 100644 --- a/nixpkgs/pkgs/applications/networking/irc/hexchat/default.nix +++ b/nixpkgs/pkgs/applications/networking/irc/hexchat/default.nix @@ -1,7 +1,7 @@ { stdenv, fetchFromGitHub, fetchpatch, pkgconfig, gtk2, lua, perl, python3 , pciutils, dbus-glib, libcanberra-gtk2, libproxy , libsexy, enchant2, libnotify, openssl, isocodes -, desktop-file-utils, hicolor-icon-theme +, desktop-file-utils , meson, ninja }: @@ -20,7 +20,7 @@ stdenv.mkDerivation rec { buildInputs = [ gtk2 lua perl python3 pciutils dbus-glib libcanberra-gtk2 libproxy - libsexy libnotify openssl desktop-file-utils hicolor-icon-theme + libsexy libnotify openssl desktop-file-utils isocodes ]; diff --git a/nixpkgs/pkgs/applications/networking/irc/weechat/scripts/wee-slack/default.nix b/nixpkgs/pkgs/applications/networking/irc/weechat/scripts/wee-slack/default.nix index 6811cb164e0..f3d96a1b5c6 100644 --- a/nixpkgs/pkgs/applications/networking/irc/weechat/scripts/wee-slack/default.nix +++ b/nixpkgs/pkgs/applications/networking/irc/weechat/scripts/wee-slack/default.nix @@ -31,7 +31,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { homepage = https://github.com/wee-slack/wee-slack; license = licenses.mit; - maintainers = with maintainers; [ ma27 ]; + maintainers = with maintainers; [ willibutz ]; description = '' A WeeChat plugin for Slack.com. Synchronizes read markers, provides typing notification, search, etc.. ''; diff --git a/nixpkgs/pkgs/applications/networking/mailreaders/balsa/default.nix b/nixpkgs/pkgs/applications/networking/mailreaders/balsa/default.nix index 15f707e06a2..ff659959876 100644 --- a/nixpkgs/pkgs/applications/networking/mailreaders/balsa/default.nix +++ b/nixpkgs/pkgs/applications/networking/mailreaders/balsa/default.nix @@ -1,7 +1,7 @@ { stdenv, fetchurl, pkgconfig, intltool, glib, gtk3, gmime, gnutls, webkitgtk, libesmtp, openssl, libnotify, gtkspell3, gpgme, libcanberra-gtk3, libsecret, gtksourceview, gobject-introspection, - hicolor-icon-theme, wrapGAppsHook + wrapGAppsHook }: stdenv.mkDerivation rec { @@ -17,7 +17,6 @@ stdenv.mkDerivation rec { pkgconfig intltool gobject-introspection - hicolor-icon-theme wrapGAppsHook ]; diff --git a/nixpkgs/pkgs/applications/networking/mailreaders/claws-mail/default.nix b/nixpkgs/pkgs/applications/networking/mailreaders/claws-mail/default.nix index d7df94f7e67..9fcf72ce4bc 100644 --- a/nixpkgs/pkgs/applications/networking/mailreaders/claws-mail/default.nix +++ b/nixpkgs/pkgs/applications/networking/mailreaders/claws-mail/default.nix @@ -1,8 +1,8 @@ { config, fetchurl, stdenv, wrapGAppsHook, autoreconfHook -, curl, dbus, dbus-glib, enchant, gtk2, gnutls, gnupg, gpgme, hicolor-icon-theme +, curl, dbus, dbus-glib, enchant, gtk2, gnutls, gnupg, gpgme , libarchive, libcanberra-gtk2, libetpan, libnotify, libsoup, libxml2, networkmanager , openldap, perl, pkgconfig, poppler, python, shared-mime-info, webkitgtk24x-gtk2 -, glib-networking, gsettings-desktop-schemas, libSM, libytnef, libical +, glib-networking, gsettings-desktop-schemas, libSM, libytnef, libical # Build options # TODO: A flag to build the manual. # TODO: Plugins that complain about their missing dependencies, even when @@ -56,7 +56,7 @@ stdenv.mkDerivation rec { propagatedBuildInputs = with python.pkgs; [ python ] ++ optionals enablePluginPython [ pygtk pygobject2 ]; buildInputs = - [ curl dbus dbus-glib gtk2 gnutls gsettings-desktop-schemas hicolor-icon-theme + [ curl dbus dbus-glib gtk2 gnutls gsettings-desktop-schemas libetpan perl glib-networking libSM libytnef ] ++ optional enableSpellcheck enchant diff --git a/nixpkgs/pkgs/applications/networking/newsreaders/liferea/default.nix b/nixpkgs/pkgs/applications/networking/newsreaders/liferea/default.nix index 0cfcf6408ba..6352922e33e 100644 --- a/nixpkgs/pkgs/applications/networking/newsreaders/liferea/default.nix +++ b/nixpkgs/pkgs/applications/networking/newsreaders/liferea/default.nix @@ -1,7 +1,7 @@ { stdenv, fetchurl, pkgconfig, intltool, python3Packages, wrapGAppsHook , glib, libxml2, libxslt, sqlite, libsoup , webkitgtk, json-glib, gst_all_1 , libnotify, gtk3, gsettings-desktop-schemas, libpeas, dconf, librsvg -, gobject-introspection, glib-networking, hicolor-icon-theme +, gobject-introspection, glib-networking }: stdenv.mkDerivation rec { @@ -18,7 +18,7 @@ stdenv.mkDerivation rec { buildInputs = [ glib gtk3 webkitgtk libxml2 libxslt sqlite libsoup gsettings-desktop-schemas libpeas gsettings-desktop-schemas json-glib dconf gobject-introspection - librsvg glib-networking libnotify hicolor-icon-theme + librsvg glib-networking libnotify ] ++ (with gst_all_1; [ gstreamer gst-plugins-base gst-plugins-good gst-plugins-bad ]); diff --git a/nixpkgs/pkgs/applications/networking/nextcloud-client/default.nix b/nixpkgs/pkgs/applications/networking/nextcloud-client/default.nix index 6f7f47bdcfa..27ccbf6d88a 100644 --- a/nixpkgs/pkgs/applications/networking/nextcloud-client/default.nix +++ b/nixpkgs/pkgs/applications/networking/nextcloud-client/default.nix @@ -18,13 +18,13 @@ mkDerivation rec { pname = "nextcloud-client"; - version = "2.5.3"; + version = "2.6.0"; src = fetchFromGitHub { owner = "nextcloud"; repo = "desktop"; rev = "v${version}"; - sha256 = "1pzlq507fasf2ljf37gkw00qrig4w2r712rsy05zfwlncgcn7fnw"; + sha256 = "1cggk8yfy6lak48nfh691ad5y3bap49cfa2krp7vak108krgvkxi"; }; patches = [ diff --git a/nixpkgs/pkgs/applications/networking/p2p/torrential/default.nix b/nixpkgs/pkgs/applications/networking/p2p/torrential/default.nix index b1327231e0a..0ca5402d6da 100644 --- a/nixpkgs/pkgs/applications/networking/p2p/torrential/default.nix +++ b/nixpkgs/pkgs/applications/networking/p2p/torrential/default.nix @@ -2,12 +2,11 @@ , fetchFromGitHub , cmake , pkgconfig -, vala +, vala_0_40 , pantheon , curl , glib , gtk3 -, hicolor-icon-theme , libb64 , libevent , libgee @@ -32,7 +31,7 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ cmake - vala + vala_0_40 # https://github.com/davidmhewitt/torrential/issues/135 pkgconfig wrapGAppsHook ]; @@ -41,7 +40,6 @@ stdenv.mkDerivation rec { curl glib gtk3 - hicolor-icon-theme libb64 libevent libgee diff --git a/nixpkgs/pkgs/applications/networking/p2p/transmission-remote-gtk/default.nix b/nixpkgs/pkgs/applications/networking/p2p/transmission-remote-gtk/default.nix index bec0507e4a5..55bdced74b5 100644 --- a/nixpkgs/pkgs/applications/networking/p2p/transmission-remote-gtk/default.nix +++ b/nixpkgs/pkgs/applications/networking/p2p/transmission-remote-gtk/default.nix @@ -1,6 +1,5 @@ { stdenv, autoconf, automake, libtool, wrapGAppsHook, fetchFromGitHub, pkgconfig -, intltool, gtk3, json-glib, curl, glib, autoconf-archive, appstream-glib -, hicolor-icon-theme }: +, intltool, gtk3, json-glib, curl, glib, autoconf-archive, appstream-glib }: stdenv.mkDerivation rec { @@ -22,7 +21,7 @@ stdenv.mkDerivation rec { appstream-glib ]; - buildInputs = [ gtk3 json-glib curl glib hicolor-icon-theme ]; + buildInputs = [ gtk3 json-glib curl glib ]; doCheck = false; # fails with style validation error diff --git a/nixpkgs/pkgs/applications/networking/p2p/transmission/default.nix b/nixpkgs/pkgs/applications/networking/p2p/transmission/default.nix index 8af94b2609f..25996953e11 100644 --- a/nixpkgs/pkgs/applications/networking/p2p/transmission/default.nix +++ b/nixpkgs/pkgs/applications/networking/p2p/transmission/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, pkgconfig, intltool, file, wrapGAppsHook -, openssl, curl, libevent, inotify-tools, systemd, zlib, hicolor-icon-theme +, openssl, curl, libevent, inotify-tools, systemd, zlib , enableGTK3 ? false, gtk3 , enableSystemd ? stdenv.isLinux , enableDaemon ? true @@ -22,8 +22,7 @@ stdenv.mkDerivation rec { buildInputs = [ intltool file openssl curl libevent zlib ] ++ optionals enableGTK3 [ gtk3 ] ++ optionals enableSystemd [ systemd ] - ++ optionals stdenv.isLinux [ inotify-tools ] - ++ optionals enableGTK3 [ hicolor-icon-theme ]; + ++ optionals stdenv.isLinux [ inotify-tools ]; postPatch = '' substituteInPlace ./configure \ diff --git a/nixpkgs/pkgs/applications/networking/ping/default.nix b/nixpkgs/pkgs/applications/networking/ping/default.nix index c8eed0b26ef..4d1d39a05c2 100644 --- a/nixpkgs/pkgs/applications/networking/ping/default.nix +++ b/nixpkgs/pkgs/applications/networking/ping/default.nix @@ -9,7 +9,6 @@ , glib , gtk3 , gtksourceview -, hicolor-icon-theme , json-glib , libsoup , libgee @@ -39,7 +38,6 @@ stdenv.mkDerivation rec { glib gtk3 gtksourceview - hicolor-icon-theme json-glib libgee libsoup diff --git a/nixpkgs/pkgs/applications/networking/remote/anydesk/default.nix b/nixpkgs/pkgs/applications/networking/remote/anydesk/default.nix index 3f1e8f20293..cec4e72e2ad 100644 --- a/nixpkgs/pkgs/applications/networking/remote/anydesk/default.nix +++ b/nixpkgs/pkgs/applications/networking/remote/anydesk/default.nix @@ -51,7 +51,7 @@ in stdenv.mkDerivation rec { mkdir -p $out/bin $out/share/{applications,doc/anydesk,icons/hicolor} install -m755 anydesk $out/bin/anydesk cp copyright README $out/share/doc/anydesk - cp -r icons/* $out/share/icons/hicolor/ + cp -r icons/hicolor/* $out/share/icons/hicolor/ cp ${desktopItem}/share/applications/*.desktop $out/share/applications runHook postInstall diff --git a/nixpkgs/pkgs/applications/networking/remote/remmina/default.nix b/nixpkgs/pkgs/applications/networking/remote/remmina/default.nix index f60d43f3616..adb70c3916f 100644 --- a/nixpkgs/pkgs/applications/networking/remote/remmina/default.nix +++ b/nixpkgs/pkgs/applications/networking/remote/remmina/default.nix @@ -6,7 +6,7 @@ , libsecret, libsoup, spice-protocol, spice-gtk, epoxy, at-spi2-core , openssl, gsettings-desktop-schemas, json-glib # The themes here are soft dependencies; only icons are missing without them. -, hicolor-icon-theme, gnome3 +, gnome3 }: with stdenv.lib; @@ -30,7 +30,7 @@ stdenv.mkDerivation rec { pcre libdbusmenu-gtk3 libappindicator-gtk3 libvncserver libpthreadstubs libXdmcp libxkbcommon libsecret libsoup spice-protocol spice-gtk epoxy at-spi2-core - openssl hicolor-icon-theme gnome3.adwaita-icon-theme json-glib + openssl gnome3.adwaita-icon-theme json-glib ]; cmakeFlags = [ diff --git a/nixpkgs/pkgs/applications/networking/sync/rclone/default.nix b/nixpkgs/pkgs/applications/networking/sync/rclone/default.nix index bc26541f4d7..7475692b962 100644 --- a/nixpkgs/pkgs/applications/networking/sync/rclone/default.nix +++ b/nixpkgs/pkgs/applications/networking/sync/rclone/default.nix @@ -2,13 +2,13 @@ buildGoPackage rec { pname = "rclone"; - version = "1.49.4"; + version = "1.49.5"; src = fetchFromGitHub { owner = pname; repo = pname; rev = "v${version}"; - sha256 = "04fa85ch85dxm2nq7vqria34zn6fbgvky7p1i59j1wib753jpxyp"; + sha256 = "0firfb2300grfp5fnqaifhp346m4d0x8r1xshs9d8r6jxb160n03"; }; goPackagePath = "github.com/rclone/rclone"; diff --git a/nixpkgs/pkgs/applications/networking/sync/rsync/rrsync.nix b/nixpkgs/pkgs/applications/networking/sync/rsync/rrsync.nix index 19e2eaa5fa2..19be478b0f1 100644 --- a/nixpkgs/pkgs/applications/networking/sync/rsync/rrsync.nix +++ b/nixpkgs/pkgs/applications/networking/sync/rsync/rrsync.nix @@ -8,8 +8,7 @@ stdenv.mkDerivation { src = base.src; - buildInputs = [ rsync ]; - nativeBuildInputs = [perl]; + buildInputs = [ rsync perl ]; # Skip configure and build phases. # We just want something from the support directory diff --git a/nixpkgs/pkgs/applications/networking/syncthing/default.nix b/nixpkgs/pkgs/applications/networking/syncthing/default.nix index 7bfe697105e..555550d4d9e 100644 --- a/nixpkgs/pkgs/applications/networking/syncthing/default.nix +++ b/nixpkgs/pkgs/applications/networking/syncthing/default.nix @@ -3,19 +3,19 @@ let common = { stname, target, postInstall ? "" }: buildGoModule rec { - version = "1.2.2"; + version = "1.3.0"; name = "${stname}-${version}"; src = fetchFromGitHub { owner = "syncthing"; repo = "syncthing"; rev = "v${version}"; - sha256 = "0zkyjnjrla0vpvidwwr4z4kxc9cyjcfbjdzsr34xz7rw3jswswm9"; + sha256 = "14k1acap9y1z8sj28gcn72lkfxdzpcqj9d27hk8vzm47zjaxgp8l"; }; goPackagePath = "github.com/syncthing/syncthing"; - modSha256 = "0pp2gjx227crggph924q7sg6ak8nyl8nlsffpmawq4zl1908lsrd"; + modSha256 = "17np8ym84ql7hwzsqfx2l6yiy9hag7h96q8ysvarlfg9l95g1m64"; patches = [ ./add-stcli-target.patch diff --git a/nixpkgs/pkgs/applications/networking/transporter/default.nix b/nixpkgs/pkgs/applications/networking/transporter/default.nix deleted file mode 100644 index 46384a4b1a3..00000000000 --- a/nixpkgs/pkgs/applications/networking/transporter/default.nix +++ /dev/null @@ -1,72 +0,0 @@ -{ stdenv -, fetchFromGitHub -, meson -, ninja -, vala -, pkgconfig -, gtk3 -, python3 -, pantheon -, gnome3 -, libxml2 -, gettext -, gobject-introspection -, appstream-glib -, desktop-file-utils -, magic-wormhole -, wrapGAppsHook }: - -let - pname = "Transporter"; - version = "1.3.3"; -in stdenv.mkDerivation { - name = "${pname}-${version}"; - - src = fetchFromGitHub { - owner = "bleakgrey"; - repo = pname; - rev = version; - sha256 = "19zb2yqmyyhk5vgh6p278b76shlq0r8ykk1ks8zzr187nr5lf5k1"; - }; - - nativeBuildInputs = [ - appstream-glib - desktop-file-utils - vala - gettext - gobject-introspection # For setup hook - libxml2 - meson - ninja - pkgconfig - python3 - wrapGAppsHook - ]; - - buildInputs = [ - pantheon.elementary-icon-theme - gnome3.libgee - pantheon.granite - gtk3 - magic-wormhole - ]; - - prePatch = '' - # The paths were hardcoded - substituteInPlace ./src/WormholeInterface.vala \ - --replace /bin/wormhole ${magic-wormhole}/bin/wormhole - ''; - - postPatch = '' - chmod +x meson/post_install.py - patchShebangs meson/post_install.py - ''; - - meta = with stdenv.lib; { - description = "Simple magic-wormhole client"; - homepage = https://github.com/bleakgrey/Transporter; - license = licenses.gpl3; - maintainers = with maintainers; [ worldofpeace ]; - platforms = platforms.linux; - }; -} diff --git a/nixpkgs/pkgs/applications/networking/weather/meteo/default.nix b/nixpkgs/pkgs/applications/networking/weather/meteo/default.nix index 88d33a9a9f3..5fe52d5f33d 100644 --- a/nixpkgs/pkgs/applications/networking/weather/meteo/default.nix +++ b/nixpkgs/pkgs/applications/networking/weather/meteo/default.nix @@ -1,7 +1,6 @@ { stdenv, fetchFromGitLab, vala, python3, pkgconfig, meson, ninja, gtk3 , json-glib, libsoup, webkitgtk, geocode-glib -, libappindicator, desktop-file-utils, appstream, wrapGAppsHook -, hicolor-icon-theme }: +, libappindicator, desktop-file-utils, appstream, wrapGAppsHook }: stdenv.mkDerivation rec { pname = "meteo"; @@ -28,7 +27,6 @@ stdenv.mkDerivation rec { buildInputs = [ geocode-glib gtk3 - hicolor-icon-theme json-glib libappindicator libsoup diff --git a/nixpkgs/pkgs/applications/office/flexibee/default.nix b/nixpkgs/pkgs/applications/office/flexibee/default.nix index 284fdf7a124..71c69603631 100644 --- a/nixpkgs/pkgs/applications/office/flexibee/default.nix +++ b/nixpkgs/pkgs/applications/office/flexibee/default.nix @@ -1,7 +1,7 @@ { stdenv, fetchurl, makeWrapper, jre }: let - version = "2019.2.5"; + version = "2019.3.0.3"; majorVersion = builtins.substring 0 6 version; in @@ -11,7 +11,7 @@ stdenv.mkDerivation rec { src = fetchurl { url = "http://download.flexibee.eu/download/${majorVersion}/${version}/${pname}-${version}.tar.gz"; - sha256 = "0k94y4x6lj1vcb89a95v9mzl95mkpwp9n4a2gwvq0g90zpbnn493"; + sha256 = "1ivhqh1rl4ll0af9nfgfm7f647vc9zk61aplinvz73xb3grb4j6f"; }; nativeBuildInputs = [ makeWrapper ]; diff --git a/nixpkgs/pkgs/applications/office/gnucash/default.nix b/nixpkgs/pkgs/applications/office/gnucash/default.nix index 85868803ec6..d8fbd4392bd 100644 --- a/nixpkgs/pkgs/applications/office/gnucash/default.nix +++ b/nixpkgs/pkgs/applications/office/gnucash/default.nix @@ -36,7 +36,7 @@ stdenv.mkDerivation rec { buildInputs = [ boost icu libxml2 libxslt gettext swig isocodes gtk3 glibcLocales - webkitgtk dconf hicolor-icon-theme libofx aqbanking gwenhywfar libdbi + webkitgtk dconf libofx aqbanking gwenhywfar libdbi libdbiDrivers guile perlWrapper perl ] ++ (with perlPackages; [ FinanceQuote DateManip ]); diff --git a/nixpkgs/pkgs/applications/office/grisbi/default.nix b/nixpkgs/pkgs/applications/office/grisbi/default.nix index 752ad70cd09..cb8cf76b998 100644 --- a/nixpkgs/pkgs/applications/office/grisbi/default.nix +++ b/nixpkgs/pkgs/applications/office/grisbi/default.nix @@ -1,5 +1,5 @@ { fetchurl, stdenv, gtk, pkgconfig, libgsf, libofx, intltool, wrapGAppsHook -, hicolor-icon-theme, libsoup, gnome3 }: +, libsoup, gnome3 }: stdenv.mkDerivation rec { pname = "grisbi"; @@ -11,7 +11,7 @@ stdenv.mkDerivation rec { }; nativeBuildInputs = [ pkgconfig wrapGAppsHook ]; - buildInputs = [ gtk libgsf libofx intltool hicolor-icon-theme libsoup + buildInputs = [ gtk libgsf libofx intltool libsoup gnome3.adwaita-icon-theme ]; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/applications/office/homebank/default.nix b/nixpkgs/pkgs/applications/office/homebank/default.nix index af289c53cff..f72f820fd23 100644 --- a/nixpkgs/pkgs/applications/office/homebank/default.nix +++ b/nixpkgs/pkgs/applications/office/homebank/default.nix @@ -1,5 +1,5 @@ { fetchurl, stdenv, gtk, pkgconfig, libofx, intltool, wrapGAppsHook -, hicolor-icon-theme, libsoup, gnome3 }: +, libsoup, gnome3 }: stdenv.mkDerivation rec { name = "homebank-5.2.8"; @@ -9,7 +9,7 @@ stdenv.mkDerivation rec { }; nativeBuildInputs = [ pkgconfig wrapGAppsHook ]; - buildInputs = [ gtk libofx intltool hicolor-icon-theme libsoup + buildInputs = [ gtk libofx intltool libsoup gnome3.adwaita-icon-theme ]; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/applications/office/paperwork/default.nix b/nixpkgs/pkgs/applications/office/paperwork/default.nix index 79e4b8debc9..df5e495f936 100644 --- a/nixpkgs/pkgs/applications/office/paperwork/default.nix +++ b/nixpkgs/pkgs/applications/office/paperwork/default.nix @@ -1,6 +1,6 @@ { lib, python3Packages, gtk3, cairo , aspellDicts, buildEnv -, gnome3, hicolor-icon-theme, librsvg +, gnome3, librsvg , xvfb_run, dbus, libnotify }: @@ -48,7 +48,7 @@ python3Packages.buildPythonApplication rec { checkInputs = [ xvfb_run dbus.daemon ] ++ (with python3Packages; [ paperwork-backend ]); buildInputs = [ - gnome3.adwaita-icon-theme hicolor-icon-theme libnotify librsvg + gnome3.adwaita-icon-theme libnotify librsvg ]; # A few parts of chkdeps need to have a display and a dbus session, so we not diff --git a/nixpkgs/pkgs/applications/office/softmaker/freeoffice.nix b/nixpkgs/pkgs/applications/office/softmaker/freeoffice.nix index f3e37943bb6..8c862cb6ea4 100644 --- a/nixpkgs/pkgs/applications/office/softmaker/freeoffice.nix +++ b/nixpkgs/pkgs/applications/office/softmaker/freeoffice.nix @@ -2,13 +2,13 @@ callPackage ./generic.nix (args // rec { pname = "freeoffice"; - version = "970"; + version = "971"; edition = "2018"; suiteName = "FreeOffice"; src = fetchurl { url = "https://www.softmaker.net/down/softmaker-freeoffice-${version}-amd64.tgz"; - sha256 = "1maibr4x8mksb32ixvyy2rjn4x9f51191p5fcdj5qwz32pf8h2dr"; + sha256 = "1h36pjbpbiy4cw383cbrwh1jx2kp1ay29734zailmhifz53gj44f"; }; archive = "freeoffice${edition}.tar.lzma"; diff --git a/nixpkgs/pkgs/applications/office/spice-up/default.nix b/nixpkgs/pkgs/applications/office/spice-up/default.nix index a3eda731db7..6c09cc1de47 100644 --- a/nixpkgs/pkgs/applications/office/spice-up/default.nix +++ b/nixpkgs/pkgs/applications/office/spice-up/default.nix @@ -1,5 +1,6 @@ { stdenv , fetchFromGitHub +, fetchpatch , cmake , gdk-pixbuf , gtk3 @@ -37,6 +38,7 @@ stdenv.mkDerivation rec { vala wrapGAppsHook ]; + buildInputs = [ pantheon.elementary-icon-theme pantheon.granite @@ -49,6 +51,15 @@ stdenv.mkDerivation rec { libsoup ]; + patches = [ + # Fix build with Vala 0.46 + # https://github.com/Philip-Scott/Spice-up/pull/288 + (fetchpatch { + url = "https://patch-diff.githubusercontent.com/raw/Philip-Scott/Spice-up/pull/288.patch"; + sha256 = "0kyfd8v2sk4cvcq1j8ysp64snfjhnpr3iz7l04lx7if7h372xj39"; + }) + ]; + meta = with stdenv.lib; { description = "Create simple and beautiful presentations"; homepage = https://github.com/Philip-Scott/Spice-up; diff --git a/nixpkgs/pkgs/applications/office/timetable/default.nix b/nixpkgs/pkgs/applications/office/timetable/default.nix index 3ecc7b3e562..bb13cae08f2 100644 --- a/nixpkgs/pkgs/applications/office/timetable/default.nix +++ b/nixpkgs/pkgs/applications/office/timetable/default.nix @@ -3,7 +3,6 @@ , glib , gtk3 , vala -, hicolor-icon-theme , json-glib , libgee , meson @@ -38,7 +37,6 @@ stdenv.mkDerivation rec { buildInputs = [ glib gtk3 - hicolor-icon-theme json-glib libgee pantheon.granite diff --git a/nixpkgs/pkgs/applications/office/vnote/default.nix b/nixpkgs/pkgs/applications/office/vnote/default.nix index fbb8436f44c..6142042e7cb 100644 --- a/nixpkgs/pkgs/applications/office/vnote/default.nix +++ b/nixpkgs/pkgs/applications/office/vnote/default.nix @@ -1,4 +1,4 @@ -{ lib, mkDerivation, fetchFromGitHub, qmake, qtbase, qtwebengine, hicolor-icon-theme }: +{ lib, mkDerivation, fetchFromGitHub, qmake, qtbase, qtwebengine }: let description = "A note-taking application that knows programmers and Markdown better"; @@ -15,7 +15,7 @@ in mkDerivation rec { }; nativeBuildInputs = [ qmake ]; - buildInputs = [ qtbase qtwebengine hicolor-icon-theme ]; + buildInputs = [ qtbase qtwebengine ]; meta = with lib; { inherit description; diff --git a/nixpkgs/pkgs/applications/radio/tqsl/default.nix b/nixpkgs/pkgs/applications/radio/tqsl/default.nix index ce0fbf0e16d..343b0bf8cba 100644 --- a/nixpkgs/pkgs/applications/radio/tqsl/default.nix +++ b/nixpkgs/pkgs/applications/radio/tqsl/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { pname = "tqsl"; - version = "2.4.3"; + version = "2.4.7"; src = fetchurl { url = "https://www.arrl.org/files/file/LoTW%20Instructions/${pname}-${version}.tar.gz"; - sha256 = "0f8pa5wnp0x0mjjr5kanka9hirgmp5wf6jsb95dc6hjlzlvy6kz9"; + sha256 = "1i33bk3annz4rnjc58knprfajq1pbyjqyrhygqybvl7bsp70c5ri"; }; nativeBuildInputs = [ makeWrapper ]; diff --git a/nixpkgs/pkgs/applications/science/biology/star/default.nix b/nixpkgs/pkgs/applications/science/biology/star/default.nix index f32dca86bdf..8cd23fe06ed 100644 --- a/nixpkgs/pkgs/applications/science/biology/star/default.nix +++ b/nixpkgs/pkgs/applications/science/biology/star/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "star"; - version = "2.7.1a"; + version = "2.7.2b"; src = fetchFromGitHub { repo = "STAR"; owner = "alexdobin"; rev = version; - sha256 = "0n6g4s4hgw7qygs1z97j7a2dgz8gfaa4cv5pjvvvmarvk0x07hyg"; + sha256 = "1fb63n3jm1l8k60wdjbq9asv4l1kf7algxxs1aqzvvidx3a8fvzq"; }; sourceRoot = "source/source"; diff --git a/nixpkgs/pkgs/applications/science/electronics/kicad/default.nix b/nixpkgs/pkgs/applications/science/electronics/kicad/default.nix index bd57e355c75..5d069c5a219 100644 --- a/nixpkgs/pkgs/applications/science/electronics/kicad/default.nix +++ b/nixpkgs/pkgs/applications/science/electronics/kicad/default.nix @@ -28,11 +28,11 @@ let in stdenv.mkDerivation rec { pname = "kicad"; series = "5.0"; - version = "5.1.2"; + version = "5.1.4"; src = fetchurl { url = "https://launchpad.net/kicad/${series}/${version}/+download/kicad-${version}.tar.xz"; - sha256 = "12kp82ms2dwqkhilmh3mbhg5rsj5ykk99pnkhp4sx89nni86qdw4"; + sha256 = "1r60dgh6aalbpq1wsmpyxkz0nn4ck8ydfdjcrblpl69k5rks5k2j"; }; postPatch = '' @@ -73,22 +73,22 @@ in stdenv.mkDerivation rec { dontWrapGApps = true; passthru = { - i18n = mkLib version "i18n" "08a8lpz2j7bhwn155s0ii538qlynnnvq6fmdw1dxjfgmfy7y3r66" { + i18n = mkLib version "i18n" "1dk7wis4cncmihl8fnic3jyhqcdzpifchzsp7hmf214h0vp199zr" { buildInputs = [ gettext ]; meta.license = licenses.gpl2; # https://github.com/KiCad/kicad-i18n/issues/3 }; - symbols = mkLib version "symbols" "0l5r53wcv0518x2kl0fh1zi0d50cckc7z1739fp9z3k5a4ddk824" { + symbols = mkLib version "symbols" "1lna4xlvzrxif3569pkp6mrg7fj62z3a3ri5j97lnmnnzhiddnh3" { meta.license = licenses.cc-by-sa-40; }; - footprints = mkLib version "footprints" "0q7y7m10pav6917ri37pzjvyh71c8lf4lh9ch258pdpl3w481zk6" { + footprints = mkLib version "footprints" "0c0kcywxlaihzzwp9bi0dsr2v9j46zcdr85xmfpivmrk19apss6a" { meta.license = licenses.cc-by-sa-40; }; - templates = mkLib version "templates" "1nva4ckq0l2lrah0l05355cawlwd7qfxcagcv32m8hcrn781455q" { + templates = mkLib version "templates" "1bagb0b94cjh7zp9z0h23b60j45kwxbsbb7b2bdk98dmph8lmzbb" { meta.license = licenses.cc-by-sa-40; }; - packages3d = mkLib version "packages3d" "0xla9k1rnrs00fink90y9qz766iks5lyqwnf1h2i508djqhqm5zi" { + packages3d = mkLib version "packages3d" "0h2qjj8vf33jz6jhqdz90c80h5i1ydgfqnns7rn0fqphlnscb45g" { hydraPlatforms = []; # this is a ~1 GiB download, occupies ~5 GiB in store meta.license = licenses.cc-by-sa-40; }; @@ -107,7 +107,7 @@ in stdenv.mkDerivation rec { buildPythonPath "$out $pythonPath" gappsWrapperArgs+=(--set PYTHONPATH "$program_PYTHONPATH") - wrapGApp "$out/bin/kicad" + wrapGApp "$out/bin/kicad" --prefix LD_LIBRARY_PATH : "${libngspice}/lib" ''; meta = { @@ -116,5 +116,6 @@ in stdenv.mkDerivation rec { license = licenses.gpl2; maintainers = with maintainers; [ berce ]; platforms = with platforms; linux; + broken = stdenv.isAarch64; }; } diff --git a/nixpkgs/pkgs/applications/science/logic/coq/default.nix b/nixpkgs/pkgs/applications/science/logic/coq/default.nix index 99e9d856a71..81102338870 100644 --- a/nixpkgs/pkgs/applications/science/logic/coq/default.nix +++ b/nixpkgs/pkgs/applications/science/logic/coq/default.nix @@ -7,8 +7,9 @@ { stdenv, fetchFromGitHub, writeText, pkgconfig , ocamlPackages, ncurses -, buildIde ? true +, buildIde ? !stdenv.lib.versionAtLeast version "8.10" # lablgtk3 cannot be built with GTK3 at version 3.24.11 , glib, gnome3, wrapGAppsHook +, darwin , csdp ? null , version }: @@ -28,7 +29,7 @@ let "8.8.2" = "1lip3xja924dm6qblisk1bk0x8ai24s5xxqxphbdxj6djglj68fd"; "8.9.0" = "1dkgdjc4n1m15m1p724hhi5cyxpqbjw6rxc5na6fl3v4qjjfnizh"; "8.9.1" = "1xrq6mkhpq994bncmnijf8jwmwn961kkpl4mwwlv7j3dgnysrcv2"; - "8.10+beta3" = "08c7q97jyblsf7dhk8jf1fx1cp9qr3dr5s42wigx10wh7i6j7pca"; + "8.10.0" = "138jw94wp4mg5dgjc2asn8ng09ayz1mxdznq342n0m469j803gzg"; }.${version}; coq-version = stdenv.lib.versions.majorMinor version; versionAtLeast = stdenv.lib.versionAtLeast coq-version; @@ -101,10 +102,13 @@ self = stdenv.mkDerivation { }; nativeBuildInputs = [ pkgconfig ]; - buildInputs = [ ncurses ] ++ (with ocamlPackages; [ ocaml findlib camlp5 num ]) + buildInputs = [ ncurses ocamlPackages.ocaml ocamlPackages.findlib ] + ++ stdenv.lib.optional (!versionAtLeast "8.10") ocamlPackages.camlp5 + ++ [ ocamlPackages.num ] ++ stdenv.lib.optionals buildIde (if versionAtLeast "8.10" then [ ocamlPackages.lablgtk3-sourceview3 glib gnome3.defaultIconTheme wrapGAppsHook ] + ++ stdenv.lib.optional stdenv.isDarwin darwin.apple_sdk.frameworks.Cocoa else [ ocamlPackages.lablgtk ]); postPatch = '' diff --git a/nixpkgs/pkgs/applications/science/logic/monosat/default.nix b/nixpkgs/pkgs/applications/science/logic/monosat/default.nix index fba3bc47906..c0512b74488 100644 --- a/nixpkgs/pkgs/applications/science/logic/monosat/default.nix +++ b/nixpkgs/pkgs/applications/science/logic/monosat/default.nix @@ -8,11 +8,11 @@ with stdenv.lib; let boolToCmake = x: if x then "ON" else "OFF"; - rev = "2deeadeff214e975c9f7508bc8a24fa05a1a0c32"; - sha256 = "09yhym2lxmn3xbhw5fcxawnmvms5jd9fw9m7x2wzil7yvy4vwdjn"; + rev = "1.8.0"; + sha256 = "0q3a8x3iih25xkp2bm842sm2hxlb8hxlls4qmvj7vzwrh4lvsl7b"; pname = "monosat"; - version = substring 0 7 sha256; + version = rev; src = fetchFromGitHub { owner = "sambayless"; @@ -25,7 +25,11 @@ let inherit src; buildInputs = [ cmake zlib gmp jdk8 ]; - cmakeFlags = [ "-DJAVA=${boolToCmake includeJava}" "-DGPL=${boolToCmake includeGplCode}" ]; + cmakeFlags = [ + "-DBUILD_STATIC=OFF" + "-DJAVA=${boolToCmake includeJava}" + "-DGPL=${boolToCmake includeGplCode}" + ]; postInstall = optionalString includeJava '' mkdir -p $out/share/java @@ -39,7 +43,7 @@ let platforms = platforms.unix; license = if includeGplCode then licenses.gpl2 else licenses.mit; homepage = https://github.com/sambayless/monosat; - broken = true; + maintainers = [ maintainers.acairncross ]; }; }; @@ -51,18 +55,15 @@ let propagatedBuildInputs = [ core cython ]; - # This tells setup.py to use cython + # This tells setup.py to use cython, which should produce faster bindings MONOSAT_CYTHON = true; # The relative paths here don't make sense for our Nix build - # Also, let's use cython since it should produce faster bindings # TODO: do we want to just reference the core monosat library rather than copying the # shared lib? The current setup.py copies the .dylib/.so... postPatch = '' - substituteInPlace setup.py \ - --replace '../../../../libmonosat.dylib' '${core}/lib/libmonosat.dylib' \ - --replace '../../../../libmonosat.so' '${core}/lib/libmonosat.so' + --replace 'library_dir = "../../../../"' 'library_dir = "${core}/lib/"' ''; }; in core diff --git a/nixpkgs/pkgs/applications/science/logic/symbiyosys/default.nix b/nixpkgs/pkgs/applications/science/logic/symbiyosys/default.nix index ed66c77dcab..8e100716c87 100644 --- a/nixpkgs/pkgs/applications/science/logic/symbiyosys/default.nix +++ b/nixpkgs/pkgs/applications/science/logic/symbiyosys/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation { pname = "symbiyosys"; - version = "2019.08.13"; + version = "2019.10.11"; src = fetchFromGitHub { owner = "yosyshq"; repo = "symbiyosys"; - rev = "9cb542ac7a310b3dfa626349db53bed6236b670c"; - sha256 = "0c7nz740738ybk33zzlfl00cq86n31wvra8pqqkpl4ygxnwca1d6"; + rev = "23f89011b678daa9da406d4f45f790e45f8f68ca"; + sha256 = "01596yvfj79iywwczjwlb2l9qnh7bsj7jff66jdk1ybjnxf841f0"; }; buildInputs = [ python3 yosys ]; diff --git a/nixpkgs/pkgs/applications/science/misc/simgrid/default.nix b/nixpkgs/pkgs/applications/science/misc/simgrid/default.nix index 610d7494ed8..58f464575b0 100644 --- a/nixpkgs/pkgs/applications/science/misc/simgrid/default.nix +++ b/nixpkgs/pkgs/applications/science/misc/simgrid/default.nix @@ -18,14 +18,14 @@ in stdenv.mkDerivation rec { pname = "simgrid"; - version = "3.23"; + version = "3.24"; src = fetchFromGitLab { domain = "framagit.org"; owner = pname; repo = pname; rev = "v${version}"; - sha256 = "068xg5ps4j4v2sqqyl4vf83nfazp54gsy84gvlw52h94c4mj4xmp"; + sha256 = "1r9zgfx5npjw4mk0ywxx07jyi1m1b1i06j0m60n3dsrz75492x6m"; }; nativeBuildInputs = [ cmake perl python3 boost valgrind ] diff --git a/nixpkgs/pkgs/applications/search/catfish/default.nix b/nixpkgs/pkgs/applications/search/catfish/default.nix index 30b9b9c273d..bce5795a9c7 100644 --- a/nixpkgs/pkgs/applications/search/catfish/default.nix +++ b/nixpkgs/pkgs/applications/search/catfish/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, file, which, intltool, gobject-introspection, - findutils, xdg_utils, gnome3, gtk3, pythonPackages, hicolor-icon-theme, + findutils, xdg_utils, gnome3, gtk3, pythonPackages, wrapGAppsHook }: @@ -29,7 +29,6 @@ pythonPackages.buildPythonApplication rec { pythonPackages.pyxdg pythonPackages.ptyprocess pythonPackages.pycairo - hicolor-icon-theme gobject-introspection # Temporary fix, see https://github.com/NixOS/nixpkgs/issues/56943 ]; diff --git a/nixpkgs/pkgs/applications/version-management/git-and-tools/default.nix b/nixpkgs/pkgs/applications/version-management/git-and-tools/default.nix index cb098f50276..db82cc54135 100644 --- a/nixpkgs/pkgs/applications/version-management/git-and-tools/default.nix +++ b/nixpkgs/pkgs/applications/version-management/git-and-tools/default.nix @@ -74,6 +74,8 @@ let git-annex-remote-rclone = callPackage ./git-annex-remote-rclone { }; + git-annex-utils = callPackage ./git-annex-utils { }; + git-bug = callPackage ./git-bug { }; # support for bugzilla diff --git a/nixpkgs/pkgs/applications/version-management/git-and-tools/git-annex-utils/default.nix b/nixpkgs/pkgs/applications/version-management/git-and-tools/git-annex-utils/default.nix new file mode 100644 index 00000000000..08134195703 --- /dev/null +++ b/nixpkgs/pkgs/applications/version-management/git-and-tools/git-annex-utils/default.nix @@ -0,0 +1,25 @@ +{ stdenv, fetchgit, autoconf, automake, libtool, gmp }: + +stdenv.mkDerivation rec { + pname = "git-annex-utils"; + version = "0.04-3-g531bb33"; + src = fetchgit { + url = http://git.mysteryvortex.com/repositories/git-annex-utils.git; + rev = "531bb33"; + sha256 = "1sv7s2ykc840cjwbfn7ayy743643x9i1lvk4cd55w9l052xvzj65"; + }; + buildInputs = [ autoconf automake libtool gmp ]; + preConfigure = "./autogen.sh"; + + meta = { + description = "gadu, a du like utility for annexed files"; + longDescription = '' + This is a set of utilities that are handy to use with git-annex repositories. + Currently there is only one utility gadu, a du like utility for annexed files. + ''; + homepage = http://git-annex.mysteryvortex.com/git-annex-utils.html; + license = stdenv.lib.licenses.gpl3; + maintainers = with stdenv.lib.maintainers; [ woffs ]; + platforms = stdenv.lib.platforms.all; + }; +} diff --git a/nixpkgs/pkgs/applications/version-management/git-and-tools/git/default.nix b/nixpkgs/pkgs/applications/version-management/git-and-tools/git/default.nix index 47da7f7a1d7..46bbc72fb3b 100644 --- a/nixpkgs/pkgs/applications/version-management/git-and-tools/git/default.nix +++ b/nixpkgs/pkgs/applications/version-management/git-and-tools/git/default.nix @@ -141,8 +141,9 @@ stdenv.mkDerivation { cp -a contrib $out/share/git/ mkdir -p $out/share/emacs/site-lisp ln -s "$out/share/git/contrib/emacs/"*.el $out/share/emacs/site-lisp/ + mkdir -p $out/share/bash-completion/completions + ln -s $out/share/git/contrib/completion/git-completion.bash $out/share/bash-completion/completions/git mkdir -p $out/etc/bash_completion.d - ln -s $out/share/git/contrib/completion/git-completion.bash $out/etc/bash_completion.d/ ln -s $out/share/git/contrib/completion/git-prompt.sh $out/etc/bash_completion.d/ # grep is a runtime dependency, need to patch so that it's found diff --git a/nixpkgs/pkgs/applications/version-management/gitkraken/default.nix b/nixpkgs/pkgs/applications/version-management/gitkraken/default.nix index 67e6589ac1a..01a73e6c212 100644 --- a/nixpkgs/pkgs/applications/version-management/gitkraken/default.nix +++ b/nixpkgs/pkgs/applications/version-management/gitkraken/default.nix @@ -2,7 +2,7 @@ , libXfixes, atk, gtk3, libXrender, pango, gnome3, cairo, freetype, fontconfig , libX11, libXi, libxcb, libXext, libXcursor, glib, libXScrnSaver, libxkbfile, libXtst , nss, nspr, cups, fetchurl, expat, gdk-pixbuf, libXdamage, libXrandr, dbus -, dpkg, makeDesktopItem, openssl, wrapGAppsHook, hicolor-icon-theme, at-spi2-atk, libuuid +, dpkg, makeDesktopItem, openssl, wrapGAppsHook, at-spi2-atk, libuuid , e2fsprogs, krb5 }: @@ -13,11 +13,11 @@ let in stdenv.mkDerivation rec { pname = "gitkraken"; - version = "6.2.1"; + version = "6.3.0"; src = fetchurl { url = "https://release.axocdn.com/linux/GitKraken-v${version}.deb"; - sha256 = "1l1w8gr4ss0g2k7bfslnc7df4ls1av59jjjc8mrx97wsndrm3vxg"; + sha256 = "06hjzkkrg2f9lb72ik16zgv813cxsv679szfdzrfygbb6wxnkjyp"; }; libPath = makeLibraryPath [ @@ -69,8 +69,8 @@ stdenv.mkDerivation rec { comment = "Graphical Git client from Axosoft"; }; - nativeBuildInputs = [ makeWrapper wrapGAppsHook ]; - buildInputs = [ dpkg gtk3 gnome3.adwaita-icon-theme hicolor-icon-theme ]; + nativeBuildInputs = [ dpkg makeWrapper wrapGAppsHook ]; + buildInputs = [ gtk3 gnome3.adwaita-icon-theme ]; unpackCmd = '' mkdir out @@ -78,6 +78,7 @@ stdenv.mkDerivation rec { ''; installPhase = '' + runHook preInstall mkdir $out pushd usr pushd share @@ -89,6 +90,7 @@ stdenv.mkDerivation rec { popd ln -s $out/share/gitkraken/gitkraken $out/bin/gitkraken + runHook postInstall ''; postFixup = '' diff --git a/nixpkgs/pkgs/applications/version-management/smartgithg/default.nix b/nixpkgs/pkgs/applications/version-management/smartgithg/default.nix index 343d88554d6..554dbae3882 100644 --- a/nixpkgs/pkgs/applications/version-management/smartgithg/default.nix +++ b/nixpkgs/pkgs/applications/version-management/smartgithg/default.nix @@ -6,7 +6,6 @@ , glib , gnome3 , wrapGAppsHook -, hicolor-icon-theme , libXtst , which }: @@ -22,7 +21,7 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ wrapGAppsHook ]; - buildInputs = [ jre gnome3.adwaita-icon-theme hicolor-icon-theme gtk3 ]; + buildInputs = [ jre gnome3.adwaita-icon-theme gtk3 ]; preFixup = with stdenv.lib; '' gappsWrapperArgs+=( \ diff --git a/nixpkgs/pkgs/applications/video/kazam/default.nix b/nixpkgs/pkgs/applications/video/kazam/default.nix index 9d549c2aecc..f38046cf8e1 100644 --- a/nixpkgs/pkgs/applications/video/kazam/default.nix +++ b/nixpkgs/pkgs/applications/video/kazam/default.nix @@ -1,5 +1,6 @@ { stdenv, fetchurl, substituteAll, python3, gst_all_1, wrapGAppsHook, gobject-introspection -, gtk3, libwnck3, keybinder3, intltool, libcanberra-gtk3, libappindicator-gtk3, libpulseaudio }: +, gtk3, libwnck3, keybinder3, intltool, libcanberra-gtk3, libappindicator-gtk3, libpulseaudio +, fetchpatch }: python3.pkgs.buildPythonApplication rec { name = "kazam-${version}"; @@ -18,6 +19,9 @@ python3.pkgs.buildPythonApplication rec { ]; propagatedBuildInputs = with python3.pkgs; [ pygobject3 pyxdg pycairo dbus-python ]; + + # workaround https://github.com/NixOS/nixpkgs/issues/56943 + strictDeps = false; patches = [ # Fix paths @@ -26,10 +30,10 @@ python3.pkgs.buildPythonApplication rec { libcanberra = libcanberra-gtk3; inherit libpulseaudio; }) - # Fix compability with Python 3.4 - (fetchurl { - url = https://sources.debian.org/data/main/k/kazam/1.4.5-2/debian/patches/configparser_api_changes.patch; - sha256 = "0yvmipnh98s7y07cp1f113l0qqfw65k13an96byq707z3ymv1c2h"; + # https://github.com/hzbd/kazam/pull/21 + (fetchpatch { + url = https://github.com/hzbd/kazam/commit/37e53a5aa61f4223a9ea03ceeda26eeba2b9d37b.patch; + sha256 = "1q5dpmdm6cvgzw8xa7bwclnqa05xc73ja1lszwmwv5glyik0fk4z"; }) ]; diff --git a/nixpkgs/pkgs/applications/video/mpv/default.nix b/nixpkgs/pkgs/applications/video/mpv/default.nix index bb0b92eee03..2a8a0a64274 100644 --- a/nixpkgs/pkgs/applications/video/mpv/default.nix +++ b/nixpkgs/pkgs/applications/video/mpv/default.nix @@ -1,6 +1,6 @@ { config, stdenv, fetchurl, fetchFromGitHub, makeWrapper -, docutils, perl, pkgconfig, python3, which, ffmpeg_4 -, freefont_ttf, freetype, libass, libpthreadstubs, mujs +, addOpenGLRunpath, docutils, perl, pkgconfig, python3, which +, ffmpeg_4, freefont_ttf, freetype, libass, libpthreadstubs, mujs , nv-codec-headers, lua, libuchardet, libiconv ? null, darwin , waylandSupport ? stdenv.isLinux @@ -135,8 +135,7 @@ in stdenv.mkDerivation rec { ''; nativeBuildInputs = [ - docutils makeWrapper perl - pkgconfig python3 which + addOpenGLRunpath docutils makeWrapper perl pkgconfig python3 which ]; buildInputs = [ @@ -214,11 +213,17 @@ in stdenv.mkDerivation rec { ${wrapperFlags} ''; + # Set RUNPATH so that libcuda in /run/opengl-driver(-32)/lib can be found. + # See the explanation in addOpenGLRunpath. + postFixup = optionalString stdenv.isLinux '' + addOpenGLRunpath $out/bin/.mpv-wrapped + ''; + meta = with stdenv.lib; { description = "A media player that supports many video formats (MPlayer and mplayer2 fork)"; homepage = https://mpv.io; license = licenses.gpl2Plus; - maintainers = with maintainers; [ AndersonTorres fuuzetsu fpletz globin ]; + maintainers = with maintainers; [ AndersonTorres fuuzetsu fpletz globin ivan ]; platforms = platforms.darwin ++ platforms.linux; longDescription = '' diff --git a/nixpkgs/pkgs/applications/video/olive-editor/default.nix b/nixpkgs/pkgs/applications/video/olive-editor/default.nix index efa06f4794e..1285422a2f9 100644 --- a/nixpkgs/pkgs/applications/video/olive-editor/default.nix +++ b/nixpkgs/pkgs/applications/video/olive-editor/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchFromGitHub, pkgconfig, which, qmake, mkDerivation, - qtbase, qtmultimedia, frei0r, opencolorio, hicolor-icon-theme, ffmpeg-full, + qtbase, qtmultimedia, frei0r, opencolorio, ffmpeg-full, CoreFoundation }: mkDerivation rec { @@ -13,9 +13,9 @@ mkDerivation rec { sha256 = "15q4qwf5rc3adssywl72jrhkpqk55ihpd5h5wf07baw0s47vv5kq"; }; - nativeBuildInputs = [ - pkgconfig - which + nativeBuildInputs = [ + pkgconfig + which qmake ]; @@ -26,7 +26,6 @@ mkDerivation rec { qtbase qtmultimedia qtmultimedia.dev - hicolor-icon-theme ] ++ stdenv.lib.optional stdenv.isDarwin CoreFoundation; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/applications/video/screenkey/default.nix b/nixpkgs/pkgs/applications/video/screenkey/default.nix index 1671c3f14d0..56b1134b2b8 100644 --- a/nixpkgs/pkgs/applications/video/screenkey/default.nix +++ b/nixpkgs/pkgs/applications/video/screenkey/default.nix @@ -10,7 +10,6 @@ , libXtst , wrapGAppsHook , gnome3 -, hicolor-icon-theme }: buildPythonApplication rec { pname = "screenkey"; @@ -40,7 +39,6 @@ buildPythonApplication rec { buildInputs = [ gnome3.adwaita-icon-theme - hicolor-icon-theme ]; propagatedBuildInputs = [ diff --git a/nixpkgs/pkgs/applications/virtualization/charliecloud/default.nix b/nixpkgs/pkgs/applications/virtualization/charliecloud/default.nix index c55eb342e06..35c78dfd50a 100644 --- a/nixpkgs/pkgs/applications/virtualization/charliecloud/default.nix +++ b/nixpkgs/pkgs/applications/virtualization/charliecloud/default.nix @@ -2,14 +2,14 @@ stdenv.mkDerivation rec { - version = "0.9.8"; + version = "0.11"; pname = "charliecloud"; src = fetchFromGitHub { owner = "hpc"; repo = "charliecloud"; rev = "v${version}"; - sha256 = "1w1wy4sj9zqfysrpf04shhppcf5ap4rp7i3ja81sv2fm27k4m9nl"; + sha256 = "10dzas5fyh2lpa0kf1xv8z9c4g4cf0zlmnpilyvpcyccyfjf6cp2"; }; buildInputs = [ python ]; diff --git a/nixpkgs/pkgs/applications/window-managers/i3/blocks.nix b/nixpkgs/pkgs/applications/window-managers/i3/blocks.nix index ef03216d499..15bd5e74cdc 100644 --- a/nixpkgs/pkgs/applications/window-managers/i3/blocks.nix +++ b/nixpkgs/pkgs/applications/window-managers/i3/blocks.nix @@ -1,4 +1,4 @@ -{ fetchFromGitHub, stdenv, autoreconfHook }: +{ fetchFromGitHub, fetchpatch, stdenv, autoreconfHook }: with stdenv.lib; @@ -13,6 +13,15 @@ stdenv.mkDerivation { sha256 = "1fx4230lmqa5rpzph68dwnpcjfaaqv5gfkradcr85hd1z8d1qp1b"; }; + patches = [ + # XDG_CONFIG_DIRS can contain multiple elements separated by colons, which should be searched in order. + (fetchpatch { + # https://github.com/vivien/i3blocks/pull/405 + url = https://github.com/edef1c/i3blocks/commit/d57b32f9a364aeaf36869efdd54240433c737e57.patch; + sha256 = "102xb0ax0hmg82dz2gzfag470dkckzf2yizai0izacvrz0d3ngj1"; + }) + ]; + nativeBuildInputs = [ autoreconfHook ]; meta = { diff --git a/nixpkgs/pkgs/build-support/bintools-wrapper/setup-hook.sh b/nixpkgs/pkgs/build-support/bintools-wrapper/setup-hook.sh index f65b792485a..2e15fa95c79 100644 --- a/nixpkgs/pkgs/build-support/bintools-wrapper/setup-hook.sh +++ b/nixpkgs/pkgs/build-support/bintools-wrapper/setup-hook.sh @@ -24,7 +24,8 @@ bintoolsWrapper_addLDVars () { # Python and Haskell packages often only have directories like $out/lib/ghc-8.4.3/ or # $out/lib/python3.6/, so having them in LDFLAGS just makes the linker search unnecessary # directories and bloats the size of the environment variable space. - if [[ -n "$(echo $1/lib/lib*)" ]]; then + local -a glob=( $1/lib/lib* ) + if [ "${#glob[*]}" -gt 0 ]; then export NIX_${role_pre}LDFLAGS+=" -L$1/lib" fi fi @@ -61,9 +62,8 @@ do if PATH=$_PATH type -p "@targetPrefix@${cmd}" > /dev/null then - upper_case="$(echo "$cmd" | tr "[:lower:]" "[:upper:]")" - export "${role_pre}${upper_case}=@targetPrefix@${cmd}"; - export "${upper_case}${role_post}=@targetPrefix@${cmd}"; + export "${role_pre}${cmd^^}=@targetPrefix@${cmd}"; + export "${cmd^^}${role_post}=@targetPrefix@${cmd}"; fi done diff --git a/nixpkgs/pkgs/build-support/buildenv/builder.pl b/nixpkgs/pkgs/build-support/buildenv/builder.pl index b699d762d29..fc6ffce735c 100755 --- a/nixpkgs/pkgs/build-support/buildenv/builder.pl +++ b/nixpkgs/pkgs/build-support/buildenv/builder.pl @@ -26,6 +26,19 @@ sub isInPathsToLink { return 0; } +# Returns whether a path in one of the linked packages may contain +# files in one of the elements of pathsToLink. +sub hasPathsToLink { + my $path = shift; + foreach my $elem (@pathsToLink) { + return 1 if + $path eq "" || + (substr($elem, 0, length($path)) eq $path + && (($path eq $elem) || (substr($elem, length($path), 1) eq "/"))); + } + return 0; +} + # Similar to `lib.isStorePath` sub isStorePath { my $path = shift; @@ -103,7 +116,8 @@ sub findFiles { $relName =~ /info\/dir/ || ( $relName =~ /^\/share\/mime\// && !( $relName =~ /^\/share\/mime\/packages/ ) ) || $baseName eq "perllocal.pod" || - $baseName eq "log"; + $baseName eq "log" || + ! (hasPathsToLink($relName) || isInPathsToLink($relName)); my ($oldTarget, $oldPriority) = @{$symlinks{$relName} // [undef, undef]}; diff --git a/nixpkgs/pkgs/build-support/cc-wrapper/cc-wrapper.sh b/nixpkgs/pkgs/build-support/cc-wrapper/cc-wrapper.sh index bb789010008..ba3dfc96f5c 100644 --- a/nixpkgs/pkgs/build-support/cc-wrapper/cc-wrapper.sh +++ b/nixpkgs/pkgs/build-support/cc-wrapper/cc-wrapper.sh @@ -33,6 +33,7 @@ fi # GCC prints annoying warnings when they are not needed. dontLink=0 nonFlagArgs=0 +cc1=0 # shellcheck disable=SC2193 [[ "@prog@" = *++ ]] && isCpp=1 || isCpp=0 cppInclude=1 @@ -68,6 +69,8 @@ while (( "$n" < "$nParams" )); do elif [[ "$p" != -?* ]]; then # A dash alone signifies standard input; it is not a flag nonFlagArgs=1 + elif [ "$p" = -cc1 ]; then + cc1=1 fi n+=1 done @@ -167,6 +170,14 @@ if [ "$*" = -v ]; then extraBefore=() fi +# clang's -cc1 mode is not compatible with most options +# that we would pass. Rather than trying to pass only +# options that would work, let's just remove all of them. +if [ "$cc1" = 1 ]; then + extraAfter=() + extraBefore=() +fi + # Optionally print debug info. if (( "${NIX_DEBUG:-0}" >= 1 )); then # Old bash workaround, see ld-wrapper for explanation. diff --git a/nixpkgs/pkgs/build-support/skaware/build-skaware-package.nix b/nixpkgs/pkgs/build-support/skaware/build-skaware-package.nix index ce32279710e..9b159a994c8 100644 --- a/nixpkgs/pkgs/build-support/skaware/build-skaware-package.nix +++ b/nixpkgs/pkgs/build-support/skaware/build-skaware-package.nix @@ -19,10 +19,6 @@ in { # mostly for moving and deleting files from the build directory # : lines , postInstall - # packages with setup hooks that should be run - # (see definition of `makeSetupHook`) - # : list drv -, setupHooks ? [] # : list Maintainer , maintainers ? [] @@ -67,8 +63,6 @@ in stdenv.mkDerivation { dontDisableStatic = true; enableParallelBuilding = true; - nativeBuildInputs = setupHooks; - configureFlags = configureFlags ++ [ "--enable-absolute-paths" (if stdenv.isDarwin diff --git a/nixpkgs/pkgs/data/fonts/cherry/default.nix b/nixpkgs/pkgs/data/fonts/cherry/default.nix index 6d1c4b10c91..00a8a2f61fc 100644 --- a/nixpkgs/pkgs/data/fonts/cherry/default.nix +++ b/nixpkgs/pkgs/data/fonts/cherry/default.nix @@ -1,17 +1,17 @@ -{ stdenv, fetchFromGitHub, bdftopcf }: +{ stdenv, fetchFromGitHub, fonttosfnt, mkfontdir }: stdenv.mkDerivation rec { pname = "cherry"; - version = "1.3"; + version = "1.4"; src = fetchFromGitHub { owner = "turquoise-hexagon"; repo = pname; rev = version; - sha256 = "1zaiqspf6y0hpszhihdsvsyw33d3ffdap4dym7w45wfrhdpvpi0p"; + sha256 = "13zkxwp6r6kcxv4x459vwscr0n0sik4a3kcz5xnmlpvcdnbxi586"; }; - nativeBuildInputs = [ bdftopcf ]; + nativeBuildInputs = [ fonttosfnt mkfontdir ]; buildPhase = '' patchShebangs make.sh @@ -20,7 +20,10 @@ stdenv.mkDerivation rec { installPhase = '' mkdir -p $out/share/fonts/misc - cp *.pcf $out/share/fonts/misc + cp *.otb $out/share/fonts/misc + + # create fonts.dir so NixOS xorg module adds to fp + mkfontdir $out/share/fonts/misc ''; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/data/fonts/spleen/default.nix b/nixpkgs/pkgs/data/fonts/spleen/default.nix index f8830bbd211..c5dfc1e156f 100644 --- a/nixpkgs/pkgs/data/fonts/spleen/default.nix +++ b/nixpkgs/pkgs/data/fonts/spleen/default.nix @@ -1,8 +1,8 @@ -{ lib, fetchurl }: +{ lib, fetchurl, mkfontdir }: let pname = "spleen"; - version = "1.3.0"; + version = "1.5.0"; in fetchurl { name = "${pname}-${version}"; url = "https://github.com/fcambus/spleen/releases/download/${version}/spleen-${version}.tar.gz"; @@ -12,11 +12,13 @@ in fetchurl { postFetch = '' tar xvf $downloadedFile --strip=1 d="$out/share/fonts/X11/misc/spleen" - gzip -n9 *.pcf install -Dm644 *.{pcf.gz,psfu,bdf} -t $d install -m644 fonts.alias-spleen $d/fonts.alias + + # create fonts.dir so NixOS xorg module adds to fp + ${mkfontdir}/bin/mkfontdir $d ''; - sha256 = "1l1ksl8xnz1yh7jl8h2g25a7wfm9xgj3lay8ddqzlxzydkkm110q"; + sha256 = "13ndyw1m6c778k7jr7qwbfg28gqmpcgksprn2mjgsv75wvy51z19"; meta = with lib; { description = "Monospaced bitmap fonts"; diff --git a/nixpkgs/pkgs/data/icons/arc-icon-theme/default.nix b/nixpkgs/pkgs/data/icons/arc-icon-theme/default.nix index 6529e5c571c..b0a8a05fc68 100644 --- a/nixpkgs/pkgs/data/icons/arc-icon-theme/default.nix +++ b/nixpkgs/pkgs/data/icons/arc-icon-theme/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, autoreconfHook, gtk3, moka-icon-theme }: +{ stdenv, fetchFromGitHub, autoreconfHook, gtk3, moka-icon-theme, hicolor-icon-theme }: stdenv.mkDerivation rec { name = "${package-name}-${version}"; @@ -14,6 +14,12 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ autoreconfHook gtk3 moka-icon-theme ]; + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + + dontDropIconThemeCache = true; + postFixup = "gtk-update-icon-cache $out/share/icons/Arc"; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/data/icons/elementary-xfce-icon-theme/default.nix b/nixpkgs/pkgs/data/icons/elementary-xfce-icon-theme/default.nix index 4b99d1c15bc..221974c7941 100644 --- a/nixpkgs/pkgs/data/icons/elementary-xfce-icon-theme/default.nix +++ b/nixpkgs/pkgs/data/icons/elementary-xfce-icon-theme/default.nix @@ -11,7 +11,13 @@ stdenv.mkDerivation rec { sha256 = "00sk6sv0kkfb3q0jqwcllzawi30rw8nfkkfn5l1qwqha48izw3r4"; }; - nativeBuildInputs = [ pkgconfig gdk-pixbuf librsvg optipng gtk3 hicolor-icon-theme ]; + nativeBuildInputs = [ pkgconfig gdk-pixbuf librsvg optipng gtk3 ]; + + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + + dontDropIconThemeCache = true; postPatch = '' substituteInPlace svgtopng/Makefile --replace "-O0" "-O" diff --git a/nixpkgs/pkgs/data/icons/faba-icon-theme/default.nix b/nixpkgs/pkgs/data/icons/faba-icon-theme/default.nix index c15e0f4e551..efce0a16e13 100644 --- a/nixpkgs/pkgs/data/icons/faba-icon-theme/default.nix +++ b/nixpkgs/pkgs/data/icons/faba-icon-theme/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, meson, ninja, python3, gtk3, pantheon }: +{ stdenv, fetchFromGitHub, meson, ninja, python3, gtk3, pantheon, hicolor-icon-theme }: stdenv.mkDerivation rec { name = "${package-name}-${version}"; @@ -14,6 +14,12 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ meson ninja python3 gtk3 pantheon.elementary-icon-theme ]; + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + + dontDropIconThemeCache = true; + postPatch = '' patchShebangs meson/post_install.py ''; diff --git a/nixpkgs/pkgs/data/icons/faba-mono-icons/default.nix b/nixpkgs/pkgs/data/icons/faba-mono-icons/default.nix index 99488a26f2b..96bd4031150 100644 --- a/nixpkgs/pkgs/data/icons/faba-mono-icons/default.nix +++ b/nixpkgs/pkgs/data/icons/faba-mono-icons/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, autoreconfHook, gtk3, moka-icon-theme }: +{ stdenv, fetchFromGitHub, autoreconfHook, gtk3, moka-icon-theme, hicolor-icon-theme }: stdenv.mkDerivation rec { pname = "faba-mono-icons"; @@ -13,6 +13,12 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ autoreconfHook gtk3 moka-icon-theme ]; + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + + dontDropIconThemeCache = true; + postFixup = '' for theme in $out/share/icons/*; do gtk-update-icon-cache $theme diff --git a/nixpkgs/pkgs/data/icons/hicolor-icon-theme/setup-hook.sh b/nixpkgs/pkgs/data/icons/hicolor-icon-theme/setup-hook.sh index 29306cb316a..f07bab4b269 100644 --- a/nixpkgs/pkgs/data/icons/hicolor-icon-theme/setup-hook.sh +++ b/nixpkgs/pkgs/data/icons/hicolor-icon-theme/setup-hook.sh @@ -1,21 +1,13 @@ +# shellcheck shell=bash + # Populate XDG_ICON_DIRS hicolorIconThemeHook() { # where to find icon themes if [ -d "$1/share/icons" ]; then - addToSearchPath XDG_ICON_DIRS $1/share + addToSearchPath XDG_ICON_DIRS "$1/share" fi - } # I think this is meant to be a runtime dep -addEnvHooks "$hostOffset" hicolorIconThemeHook - -# Remove icon cache -hicolorPreFixupPhase() { - rm -f $out/share/icons/hicolor/icon-theme.cache - rm -f $out/share/icons/HighContrast/icon-theme.cache -} - -preFixupPhases="$preFixupPhases hicolorPreFixupPhase" - +addEnvHooks "${hostOffset:?}" hicolorIconThemeHook diff --git a/nixpkgs/pkgs/data/icons/iconpack-obsidian/default.nix b/nixpkgs/pkgs/data/icons/iconpack-obsidian/default.nix index f7015621853..f48c3cbf3f7 100644 --- a/nixpkgs/pkgs/data/icons/iconpack-obsidian/default.nix +++ b/nixpkgs/pkgs/data/icons/iconpack-obsidian/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, gtk3 }: +{ stdenv, fetchFromGitHub, gtk3, hicolor-icon-theme }: stdenv.mkDerivation rec { pname = "iconpack-obsidian"; @@ -13,6 +13,12 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ gtk3 ]; + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + + dontDropIconThemeCache = true; + installPhase = '' mkdir -p $out/share/icons mv Obsidian* $out/share/icons diff --git a/nixpkgs/pkgs/data/icons/maia-icon-theme/default.nix b/nixpkgs/pkgs/data/icons/maia-icon-theme/default.nix index a623af20604..20bc8dc0a58 100644 --- a/nixpkgs/pkgs/data/icons/maia-icon-theme/default.nix +++ b/nixpkgs/pkgs/data/icons/maia-icon-theme/default.nix @@ -21,10 +21,12 @@ stdenv.mkDerivation { kdeFrameworks.kwindowsystem ]; - buildInputs = [ + propagatedBuildInputs = [ hicolor-icon-theme ]; + dontDropIconThemeCache = true; + postFixup = '' for theme in $out/share/icons/*; do gtk-update-icon-cache $theme diff --git a/nixpkgs/pkgs/data/icons/moka-icon-theme/default.nix b/nixpkgs/pkgs/data/icons/moka-icon-theme/default.nix index 83c4b19472a..539dfaa2d10 100644 --- a/nixpkgs/pkgs/data/icons/moka-icon-theme/default.nix +++ b/nixpkgs/pkgs/data/icons/moka-icon-theme/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, meson, ninja, gtk3, python3, faba-icon-theme }: +{ stdenv, fetchFromGitHub, meson, ninja, gtk3, python3, faba-icon-theme, hicolor-icon-theme }: stdenv.mkDerivation rec { pname = "moka-icon-theme"; @@ -13,6 +13,12 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ meson ninja gtk3 python3 faba-icon-theme ]; + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + + dontDropIconThemeCache = true; + postPatch = '' patchShebangs meson/post_install.py ''; diff --git a/nixpkgs/pkgs/data/icons/numix-icon-theme-circle/default.nix b/nixpkgs/pkgs/data/icons/numix-icon-theme-circle/default.nix index 3a461e993d1..5658f20c744 100644 --- a/nixpkgs/pkgs/data/icons/numix-icon-theme-circle/default.nix +++ b/nixpkgs/pkgs/data/icons/numix-icon-theme-circle/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, gtk3, numix-icon-theme }: +{ stdenv, fetchFromGitHub, gtk3, numix-icon-theme, hicolor-icon-theme }: stdenv.mkDerivation rec { pname = "numix-icon-theme-circle"; @@ -13,6 +13,12 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ gtk3 numix-icon-theme ]; + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + + dontDropIconThemeCache = true; + installPhase = '' install -dm 755 $out/share/icons cp -dr --no-preserve='ownership' Numix-Circle{,-Light} $out/share/icons/ diff --git a/nixpkgs/pkgs/data/icons/numix-icon-theme-square/default.nix b/nixpkgs/pkgs/data/icons/numix-icon-theme-square/default.nix index 31ef15d40f7..76176f9ad04 100644 --- a/nixpkgs/pkgs/data/icons/numix-icon-theme-square/default.nix +++ b/nixpkgs/pkgs/data/icons/numix-icon-theme-square/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, gtk3, numix-icon-theme }: +{ stdenv, fetchFromGitHub, gtk3, numix-icon-theme, hicolor-icon-theme }: stdenv.mkDerivation rec { pname = "numix-icon-theme-square"; @@ -13,6 +13,12 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ gtk3 numix-icon-theme ]; + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + + dontDropIconThemeCache = true; + installPhase = '' mkdir -p $out/share/icons cp -a Numix-Square{,-Light} $out/share/icons/ diff --git a/nixpkgs/pkgs/data/icons/numix-icon-theme/default.nix b/nixpkgs/pkgs/data/icons/numix-icon-theme/default.nix index da6556c6060..d3bcdb12d3d 100644 --- a/nixpkgs/pkgs/data/icons/numix-icon-theme/default.nix +++ b/nixpkgs/pkgs/data/icons/numix-icon-theme/default.nix @@ -11,7 +11,13 @@ stdenv.mkDerivation rec { sha256 = "0pn3x0mmsph777lwhg890ck366p31bjl3755h4pv161ym08d4z9w"; }; - nativeBuildInputs = [ gtk3 hicolor-icon-theme ]; + nativeBuildInputs = [ gtk3 ]; + + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + + dontDropIconThemeCache = true; installPhase = '' mkdir -p $out/share/icons diff --git a/nixpkgs/pkgs/data/icons/paper-icon-theme/default.nix b/nixpkgs/pkgs/data/icons/paper-icon-theme/default.nix index 5359b2229f3..477960972a7 100644 --- a/nixpkgs/pkgs/data/icons/paper-icon-theme/default.nix +++ b/nixpkgs/pkgs/data/icons/paper-icon-theme/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, meson, ninja, gtk3, python3 }: +{ stdenv, fetchFromGitHub, meson, ninja, gtk3, python3, hicolor-icon-theme }: stdenv.mkDerivation rec { pname = "paper-icon-theme"; @@ -13,6 +13,12 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ meson ninja gtk3 python3 ]; + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + + dontDropIconThemeCache = true; + postPatch = '' patchShebangs meson/post_install.py ''; diff --git a/nixpkgs/pkgs/data/icons/papirus-icon-theme/default.nix b/nixpkgs/pkgs/data/icons/papirus-icon-theme/default.nix index c1959124c36..dbe3ee201f4 100644 --- a/nixpkgs/pkgs/data/icons/papirus-icon-theme/default.nix +++ b/nixpkgs/pkgs/data/icons/papirus-icon-theme/default.nix @@ -1,18 +1,24 @@ -{ stdenv, fetchFromGitHub, gtk3 }: +{ stdenv, fetchFromGitHub, gtk3, hicolor-icon-theme }: stdenv.mkDerivation rec { pname = "papirus-icon-theme"; - version = "20190919"; + version = "20191009"; src = fetchFromGitHub { owner = "PapirusDevelopmentTeam"; repo = pname; rev = version; - sha256 = "1pykrvh232b12wlhc56yd992vl4p3j2ap21mhhcwyxml06riwvki"; + sha256 = "1ljbaq0c6rhvfwj5q2kvd6rxbjykb0sbgcpjrxrzpdy08zr1kdvd"; }; nativeBuildInputs = [ gtk3 ]; + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + + dontDropIconThemeCache = true; + installPhase = '' mkdir -p $out/share/icons mv {,e}Papirus* $out/share/icons diff --git a/nixpkgs/pkgs/data/icons/tango-icon-theme/default.nix b/nixpkgs/pkgs/data/icons/tango-icon-theme/default.nix index d38f6485f13..a4a7237f974 100644 --- a/nixpkgs/pkgs/data/icons/tango-icon-theme/default.nix +++ b/nixpkgs/pkgs/data/icons/tango-icon-theme/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, intltool, pkgconfig, iconnamingutils, imagemagick, librsvg -, gtk/*any version*/ +, gtk/*any version*/, hicolor-icon-theme }: stdenv.mkDerivation rec { @@ -15,6 +15,12 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ pkgconfig ]; buildInputs = [ intltool iconnamingutils imagemagick librsvg ]; + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + + dontDropIconThemeCache = true; + configureFlags = [ "--enable-png-creation" ]; postInstall = '''${gtk.out}/bin/gtk-update-icon-cache' "$out/share/icons/Tango" ''; diff --git a/nixpkgs/pkgs/data/icons/vanilla-dmz/default.nix b/nixpkgs/pkgs/data/icons/vanilla-dmz/default.nix index c4a8fd44a61..f271d7a44e6 100644 --- a/nixpkgs/pkgs/data/icons/vanilla-dmz/default.nix +++ b/nixpkgs/pkgs/data/icons/vanilla-dmz/default.nix @@ -1,4 +1,4 @@ -{ stdenv, lib, fetchzip, xorg }: +{ stdenv, lib, fetchzip, xorg, hicolor-icon-theme }: stdenv.mkDerivation rec { pname = "vanilla-dmz"; @@ -8,6 +8,10 @@ stdenv.mkDerivation rec { sha256 = "1l0c0svk7dy0d7icg7j2181wdn3fvks5gmyqnvjk749ppy5ks8mj"; }; buildInputs = [ xorg.xcursorgen ]; + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + dontDropIconThemeCache = true; buildPhase = '' cd DMZ-White/pngs; ./make.sh; cd - cd DMZ-Black/pngs; ./make.sh; cd - diff --git a/nixpkgs/pkgs/data/icons/zafiro-icons/default.nix b/nixpkgs/pkgs/data/icons/zafiro-icons/default.nix index 942f79ca6e4..0b28a02ba4d 100644 --- a/nixpkgs/pkgs/data/icons/zafiro-icons/default.nix +++ b/nixpkgs/pkgs/data/icons/zafiro-icons/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, gtk3 }: +{ stdenv, fetchFromGitHub, gtk3, hicolor-icon-theme }: stdenv.mkDerivation rec { pname = "zafiro-icons"; @@ -13,6 +13,12 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ gtk3 ]; + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + + dontDropIconThemeCache = true; + installPhase = '' mkdir -p $out/share/icons/Zafiro-icons cp -a * $out/share/icons/Zafiro-icons diff --git a/nixpkgs/pkgs/data/misc/cacert/setup-hook.sh b/nixpkgs/pkgs/data/misc/cacert/setup-hook.sh index e969596752f..77b68a5c251 100644 --- a/nixpkgs/pkgs/data/misc/cacert/setup-hook.sh +++ b/nixpkgs/pkgs/data/misc/cacert/setup-hook.sh @@ -1,7 +1,3 @@ -cacertHook() { - export NIX_SSL_CERT_FILE=@out@/etc/ssl/certs/ca-bundle.crt - # left for compatibility - export SSL_CERT_FILE=@out@/etc/ssl/certs/ca-bundle.crt -} - -addEnvHooks "$targetOffset" cacertHook +export NIX_SSL_CERT_FILE=@out@/etc/ssl/certs/ca-bundle.crt +# left for compatibility +export SSL_CERT_FILE=@out@/etc/ssl/certs/ca-bundle.crt diff --git a/nixpkgs/pkgs/data/misc/hackage/default.nix b/nixpkgs/pkgs/data/misc/hackage/default.nix index 3500eaa6326..ae9d6b6b2a4 100644 --- a/nixpkgs/pkgs/data/misc/hackage/default.nix +++ b/nixpkgs/pkgs/data/misc/hackage/default.nix @@ -1,6 +1,6 @@ { fetchurl }: fetchurl { - url = "https://github.com/commercialhaskell/all-cabal-hashes/archive/93bcfb09798da885d29304fa4dab1e234e3b728e.tar.gz"; - sha256 = "1mv5kxqldakapzbmch2b88mynng268njq3dxbkmyzli8fwnllra2"; + url = "https://github.com/commercialhaskell/all-cabal-hashes/archive/dea2fba9b43636a3ca1898b710560e4368e9e4cf.tar.gz"; + sha256 = "1cmkiqfmhg8ch2qiwbpsagy8iimd551gapg661g07xq7sjrxbipn"; } diff --git a/nixpkgs/pkgs/data/misc/shared-mime-info/default.nix b/nixpkgs/pkgs/data/misc/shared-mime-info/default.nix index 6569efa2cde..4594ffc2392 100644 --- a/nixpkgs/pkgs/data/misc/shared-mime-info/default.nix +++ b/nixpkgs/pkgs/data/misc/shared-mime-info/default.nix @@ -1,21 +1,36 @@ -{stdenv, fetchurl, pkgconfig, gettext, perlPackages, intltool -, libxml2, glib}: +{ stdenv +, fetchurl +, pkgconfig +, gettext +, perlPackages +, itstool +, libxml2 +, glib +}: -let version = "1.12"; in -stdenv.mkDerivation { +stdenv.mkDerivation rec { pname = "shared-mime-info"; - inherit version; + version = "1.13.1"; src = fetchurl { - url = "https://gitlab.freedesktop.org/xdg/shared-mime-info/uploads/80c7f1afbcad2769f38aeb9ba6317a51/shared-mime-info-1.12.tar.xz"; - sha256 = "0gj0pp36qpsr9w6v4nywnjpcisadwkndapqsjn0ny3gd0zzg1chq"; + url = "https://gitlab.freedesktop.org/xdg/${pname}/uploads/5349e18c86eb96eee258a5c1f19122d0/${pname}-${version}.tar.xz"; + sha256 = "1bic8z5nz08qxv1x6zlxnx2j4cmlzm12kygrn3rrh1djqxdhma3f"; }; - nativeBuildInputs = [ pkgconfig gettext intltool ] ++ (with perlPackages; [ perl XMLParser ]); - buildInputs = [ libxml2 glib ]; + nativeBuildInputs = [ + pkgconfig + gettext + itstool + ] ++ (with perlPackages; [ + perl XMLParser + ]); + + buildInputs = [ + libxml2 + glib + ]; meta = with stdenv.lib; { - inherit version; description = "A database of common MIME types"; homepage = http://freedesktop.org/wiki/Software/shared-mime-info; license = licenses.gpl2Plus; diff --git a/nixpkgs/pkgs/desktops/deepin/dde-session-ui/default.nix b/nixpkgs/pkgs/desktops/deepin/dde-session-ui/default.nix index d6713fb3688..bad409303d9 100644 --- a/nixpkgs/pkgs/desktops/deepin/dde-session-ui/default.nix +++ b/nixpkgs/pkgs/desktops/deepin/dde-session-ui/default.nix @@ -1,7 +1,7 @@ { stdenv, mkDerivation, fetchFromGitHub, pkgconfig, qmake, dbus, dde-daemon, dde-qt-dbus-factory, deepin, deepin-desktop-schemas, deepin-gettext-tools, deepin-icon-theme, deepin-wallpapers, dtkcore, - dtkwidget, gnugrep, gsettings-qt, hicolor-icon-theme, lightdm_qt, + dtkwidget, gnugrep, gsettings-qt, lightdm_qt, onboard, qtsvg, qttools, qtx11extras, setxkbmap, utillinux, which, xkeyboard_config, xorg, xrandr, wrapGAppsHook }: @@ -36,7 +36,6 @@ mkDerivation rec { dtkwidget gnugrep gsettings-qt - hicolor-icon-theme lightdm_qt onboard qtsvg diff --git a/nixpkgs/pkgs/desktops/deepin/deepin-icon-theme/default.nix b/nixpkgs/pkgs/desktops/deepin/deepin-icon-theme/default.nix index e63a228d0cb..51b67e05554 100644 --- a/nixpkgs/pkgs/desktops/deepin/deepin-icon-theme/default.nix +++ b/nixpkgs/pkgs/desktops/deepin/deepin-icon-theme/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, gtk3, xcursorgen, papirus-icon-theme, deepin }: +{ stdenv, fetchFromGitHub, gtk3, xcursorgen, papirus-icon-theme, deepin, hicolor-icon-theme }: stdenv.mkDerivation rec { pname = "deepin-icon-theme"; @@ -15,6 +15,12 @@ stdenv.mkDerivation rec { buildInputs = [ papirus-icon-theme ]; + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + + dontDropIconThemeCache = true; + postPatch = '' patchShebangs tools/hicolor.links patchShebangs tools/display_unused_links.sh diff --git a/nixpkgs/pkgs/desktops/gnome-3/apps/ghex/default.nix b/nixpkgs/pkgs/desktops/gnome-3/apps/ghex/default.nix index f776f4dbe5a..0998dbd069d 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/apps/ghex/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/apps/ghex/default.nix @@ -6,7 +6,6 @@ , ninja , python3 , gnome3 -, hicolor-icon-theme , desktop-file-utils , appstream-glib , gettext @@ -32,7 +31,6 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ desktop-file-utils gettext - hicolor-icon-theme # for setup-hook itstool meson ninja diff --git a/nixpkgs/pkgs/desktops/gnome-3/apps/gnome-calendar/default.nix b/nixpkgs/pkgs/desktops/gnome-3/apps/gnome-calendar/default.nix index f1c2c7617b8..b00045cf9e9 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/apps/gnome-calendar/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/apps/gnome-calendar/default.nix @@ -4,13 +4,13 @@ let pname = "gnome-calendar"; - version = "3.34.1"; + version = "3.34.2"; in stdenv.mkDerivation rec { name = "${pname}-${version}"; src = fetchurl { url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; - sha256 = "1qlh7vx5v4mys3rhpaczngpg0zp8apachnz2j9h3ab7ywcippm7y"; + sha256 = "1bnmd191044zn2kr6f5vg7sm5q59qf7z652awll1f7s6ahijr8rw"; }; passthru = { diff --git a/nixpkgs/pkgs/desktops/gnome-3/apps/gnome-notes/default.nix b/nixpkgs/pkgs/desktops/gnome-3/apps/gnome-notes/default.nix index a6bc54fd86b..434a72341f6 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/apps/gnome-notes/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/apps/gnome-notes/default.nix @@ -5,14 +5,14 @@ , gnome3, libxml2, gsettings-desktop-schemas, tracker }: let - version = "3.34.0"; + version = "3.34.1"; in stdenv.mkDerivation { pname = "gnome-notes"; inherit version; src = fetchurl { url = "mirror://gnome/sources/bijiben/${stdenv.lib.versions.majorMinor version}/bijiben-${version}.tar.xz"; - sha256 = "0wrnsspcg4s0vdfc23cc84xy02k3b19yim9s4k0vv23b69n37n1l"; + sha256 = "0yf2scjnn2qi40g4iqg11qc4bnspd3qcnvg5b11ign5fa3qcihzv"; }; doCheck = true; diff --git a/nixpkgs/pkgs/desktops/gnome-3/apps/gnome-sound-recorder/default.nix b/nixpkgs/pkgs/desktops/gnome-3/apps/gnome-sound-recorder/default.nix index 667750b012e..3996a2e7564 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/apps/gnome-sound-recorder/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/apps/gnome-sound-recorder/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, pkgconfig, gettext, gobject-introspection, wrapGAppsHook, gjs, glib, gtk3, gdk-pixbuf, gst_all_1, gnome3 -, meson, ninja, python3, hicolor-icon-theme, desktop-file-utils }: +, meson, ninja, python3, desktop-file-utils }: stdenv.mkDerivation rec { pname = "gnome-sound-recorder"; @@ -12,7 +12,7 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ pkgconfig gettext meson ninja gobject-introspection - wrapGAppsHook python3 hicolor-icon-theme desktop-file-utils + wrapGAppsHook python3 desktop-file-utils ]; buildInputs = [ gjs glib gtk3 gdk-pixbuf ] ++ (with gst_all_1; [ gstreamer.dev gstreamer gst-plugins-base gst-plugins-good gst-plugins-bad ]); diff --git a/nixpkgs/pkgs/desktops/gnome-3/core/dconf-editor/default.nix b/nixpkgs/pkgs/desktops/gnome-3/core/dconf-editor/default.nix index 99084b502c7..c7a126034f2 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/core/dconf-editor/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/core/dconf-editor/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, meson, ninja, vala, libxslt, pkgconfig, glib, gtk3, gnome3, python3 -, libxml2, gettext, docbook_xsl, hicolor-icon-theme, wrapGAppsHook, gobject-introspection }: +, libxml2, gettext, docbook_xsl, wrapGAppsHook, gobject-introspection }: let pname = "dconf-editor"; @@ -15,7 +15,6 @@ in stdenv.mkDerivation rec { nativeBuildInputs = [ meson ninja vala libxslt pkgconfig wrapGAppsHook gettext docbook_xsl libxml2 gobject-introspection python3 - hicolor-icon-theme # for setup-hook ]; buildInputs = [ glib gtk3 gnome3.dconf ]; diff --git a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-color-manager/default.nix b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-color-manager/default.nix index bcc8871bcf1..200d038d0fb 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-color-manager/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-color-manager/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchurl, meson, ninja, pkgconfig, gettext, itstool, desktop-file-utils, gnome3, glib, gtk3, libexif, libtiff, colord, colord-gtk, libcanberra-gtk3, lcms2, vte, exiv2, hicolor-icon-theme }: +{ stdenv, fetchurl, meson, ninja, pkgconfig, gettext, itstool, desktop-file-utils, gnome3, glib, gtk3, libexif, libtiff, colord, colord-gtk, libcanberra-gtk3, lcms2, vte, exiv2 }: let pname = "gnome-color-manager"; @@ -13,8 +13,6 @@ in stdenv.mkDerivation rec { nativeBuildInputs = [ meson ninja pkgconfig gettext itstool desktop-file-utils - # setup-hook - hicolor-icon-theme ]; buildInputs = [ glib gtk3 libexif libtiff colord colord-gtk libcanberra-gtk3 lcms2 vte exiv2 ]; diff --git a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-screenshot/default.nix b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-screenshot/default.nix index bf57fb809c6..34ff8793224 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-screenshot/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-screenshot/default.nix @@ -4,13 +4,13 @@ let pname = "gnome-screenshot"; - version = "3.33.90"; + version = "3.34.0"; in stdenv.mkDerivation rec { name = "${pname}-${version}"; src = fetchurl { url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; - sha256 = "0bki99lkp20jvp1yjymy8y56k378vivpvqvfwfx9dwl8r3qal7i2"; + sha256 = "1rmiq890j7gfn5mcz31xy6jfnnxgc17dq67bhn2k9m5ylbvza2n8"; }; doCheck = true; diff --git a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-settings-daemon/default.nix b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-settings-daemon/default.nix index aa8a42ceb21..3d9f901b66b 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-settings-daemon/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-settings-daemon/default.nix @@ -41,11 +41,11 @@ stdenv.mkDerivation rec { pname = "gnome-settings-daemon"; - version = "3.34.0"; + version = "3.34.1"; src = fetchurl { url = "mirror://gnome/sources/gnome-settings-daemon/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "0dvkq6pwrvqsm30wa965q0wf15v7nmnv6xfb9sli2sc62yc9gr3w"; + sha256 = "07y1gbicz0pbxmdgwrdzyc4byy30wfwpbqgvnx27gnpqmc5s50cr"; }; patches = [ diff --git a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-shell-extensions/default.nix b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-shell-extensions/default.nix index dd88d4feea1..92c96001682 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-shell-extensions/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-shell-extensions/default.nix @@ -3,11 +3,11 @@ stdenv.mkDerivation rec { pname = "gnome-shell-extensions"; - version = "3.34.0"; + version = "3.34.1"; src = fetchurl { url = "mirror://gnome/sources/gnome-shell-extensions/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "1ayb48l2p3lji7b226027293jfclgcjmdb5dd6xfn67rhxm8zgzm"; + sha256 = "0ny7mk82kpfac977j02860c75d7zn05gvy0h9bphvx0v7ca53bdh"; }; passthru = { diff --git a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-software/default.nix b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-software/default.nix index 1b4abd492d6..fb4114a524a 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-software/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-software/default.nix @@ -1,6 +1,6 @@ { stdenv, fetchurl, substituteAll, pkgconfig, meson, ninja, gettext, gnome3, wrapGAppsHook, packagekit, ostree , glib, appstream-glib, libsoup, polkit, isocodes, gspell, libxslt, gobject-introspection, flatpak, fwupd -, gtk3, gsettings-desktop-schemas, gnome-desktop, libxmlb, gnome-online-accounts, hicolor-icon-theme +, gtk3, gsettings-desktop-schemas, gnome-desktop, libxmlb, gnome-online-accounts , json-glib, libsecret, valgrind-light, docbook_xsl, docbook_xml_dtd_42, docbook_xml_dtd_43, gtk-doc, desktop-file-utils }: let @@ -28,7 +28,6 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ meson ninja pkgconfig gettext wrapGAppsHook libxslt docbook_xml_dtd_42 docbook_xml_dtd_43 valgrind-light docbook_xsl gtk-doc desktop-file-utils gobject-introspection - hicolor-icon-theme # for setup-hook ]; buildInputs = [ diff --git a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-terminal/default.nix b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-terminal/default.nix index 774d18143ed..31f125e9720 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/core/gnome-terminal/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/core/gnome-terminal/default.nix @@ -1,14 +1,14 @@ { stdenv, fetchurl, pkgconfig, libxml2, gnome3, dconf, nautilus , gtk3, gsettings-desktop-schemas, vte, intltool, which, libuuid, vala -, desktop-file-utils, itstool, wrapGAppsHook, hicolor-icon-theme, glib, pcre2 }: +, desktop-file-utils, itstool, wrapGAppsHook, glib, pcre2 }: stdenv.mkDerivation rec { pname = "gnome-terminal"; - version = "3.34.1"; + version = "3.34.2"; src = fetchurl { url = "mirror://gnome/sources/gnome-terminal/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "06fqgyfzjqj5k3cr9ig6xa85ml7ifgwfj4gi9z5f0pyc62lwvzlg"; + sha256 = "0gc004f9b5k94gkdanmqjz3wqgnpny0l3nqm8zd19h4f0ps27mrv"; }; buildInputs = [ @@ -20,7 +20,6 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ pkgconfig intltool itstool which libxml2 vala desktop-file-utils wrapGAppsHook pcre2 - hicolor-icon-theme # for setup-hook ]; # Silly ./configure, it looks for dbus file from gnome-shell in the diff --git a/nixpkgs/pkgs/desktops/gnome-3/extensions/gsconnect/default.nix b/nixpkgs/pkgs/desktops/gnome-3/extensions/gsconnect/default.nix index cfd906a3d0c..cb1dd5ceaa8 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/extensions/gsconnect/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/extensions/gsconnect/default.nix @@ -4,13 +4,13 @@ stdenv.mkDerivation rec { pname = "gnome-shell-gsconnect"; - version = "26"; + version = "27"; src = fetchFromGitHub { owner = "andyholmes"; repo = "gnome-shell-extension-gsconnect"; rev = "v${version}"; - sha256 = "01p8b3blsnxi2i89nddkm51wbbw5irwii2qlvlrzfh8hhh37my0a"; + sha256 = "0bpg7hl81wir3c15ri8kbvr6xhalpkfmcyazwmmwyj5lxpn40ykk"; }; patches = [ @@ -18,7 +18,6 @@ stdenv.mkDerivation rec { (substituteAll { src = ./fix-paths.patch; gapplication = "${glib.bin}/bin/gapplication"; - mutter_gsettings_path = glib.getSchemaPath gnome3.mutter; }) ]; diff --git a/nixpkgs/pkgs/desktops/gnome-3/extensions/gsconnect/fix-paths.patch b/nixpkgs/pkgs/desktops/gnome-3/extensions/gsconnect/fix-paths.patch index 097cde829ea..629d34b6f68 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/extensions/gsconnect/fix-paths.patch +++ b/nixpkgs/pkgs/desktops/gnome-3/extensions/gsconnect/fix-paths.patch @@ -29,32 +29,3 @@ const Gio = imports.gi.Gio; const GLib = imports.gi.GLib; const Gtk = imports.gi.Gtk; ---- a/src/preferences/service.js -+++ b/src/preferences/service.js -@@ -435,9 +435,9 @@ var Window = GObject.registerClass({ - - _restoreGeometry() { - if (this._mutterSettings === undefined) { -- this._mutterSettings = new Gio.Settings({ -- schema_id: 'org.gnome.mutter' -- }); -+ const _schema_source = Gio.SettingsSchemaSource.new_from_directory('@mutter_gsettings_path@', Gio.SettingsSchemaSource.get_default(), true); -+ const _schema = _schema_source.lookup('org.gnome.mutter', false); -+ this._mutterSettings = new Gio.Settings({settings_schema: _schema}); - } - - // Restore geometry, even if we're going to maximize ---- a/src/service/ui/messaging.js -+++ b/src/service/ui/messaging.js -@@ -891,9 +891,9 @@ var Window = GObject.registerClass({ - */ - _restoreGeometry() { - if (this._mutterSettings === undefined) { -- this._mutterSettings = new Gio.Settings({ -- schema_id: 'org.gnome.mutter' -- }); -+ const _schema_source = Gio.SettingsSchemaSource.new_from_directory('@mutter_gsettings_path@', Gio.SettingsSchemaSource.get_default(), true); -+ const _schema = _schema_source.lookup('org.gnome.mutter', false); -+ this._mutterSettings = new Gio.Settings({settings_schema: _schema}); - } - diff --git a/nixpkgs/pkgs/desktops/gnome-3/games/gnome-robots/default.nix b/nixpkgs/pkgs/desktops/gnome-3/games/gnome-robots/default.nix index 09aaae8e1e9..c8cc9f121f5 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/games/gnome-robots/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/games/gnome-robots/default.nix @@ -1,6 +1,6 @@ { stdenv, fetchurl, pkgconfig, gnome3, gtk3, wrapGAppsHook , librsvg, gsound, gettext, itstool, libxml2, libgnome-games-support -, libgee, meson, ninja, python3, desktop-file-utils , hicolor-icon-theme, adwaita-icon-theme }: +, libgee, meson, ninja, python3, desktop-file-utils, adwaita-icon-theme }: stdenv.mkDerivation rec { pname = "gnome-robots"; @@ -18,7 +18,6 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ pkgconfig meson ninja python3 libxml2 wrapGAppsHook gettext itstool desktop-file-utils - hicolor-icon-theme # For setup-hook ]; buildInputs = [ gtk3 librsvg gsound libgnome-games-support libgee adwaita-icon-theme diff --git a/nixpkgs/pkgs/desktops/gnome-3/games/gnome-sudoku/default.nix b/nixpkgs/pkgs/desktops/gnome-3/games/gnome-sudoku/default.nix index de00368df2e..53cd073af34 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/games/gnome-sudoku/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/games/gnome-sudoku/default.nix @@ -3,11 +3,11 @@ stdenv.mkDerivation rec { pname = "gnome-sudoku"; - version = "3.34.0"; + version = "3.34.1"; src = fetchurl { url = "mirror://gnome/sources/gnome-sudoku/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "1bwy9w4jkdlsc6iz7lwy0nm4vqa11kgcw24qf4w2g0qyldcvhc3y"; + sha256 = "025y85r4qqardivvwiwhbmgarziykdy224m8zlrq8b79zv82793b"; }; nativeBuildInputs = [ meson ninja vala pkgconfig gobject-introspection gettext itstool libxml2 python3 desktop-file-utils wrapGAppsHook ]; diff --git a/nixpkgs/pkgs/desktops/gnome-3/games/iagno/default.nix b/nixpkgs/pkgs/desktops/gnome-3/games/iagno/default.nix index 901ad46e961..c679f3f74b8 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/games/iagno/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/games/iagno/default.nix @@ -5,11 +5,11 @@ stdenv.mkDerivation rec { pname = "iagno"; - version = "3.34.1"; + version = "3.34.2"; src = fetchurl { url = "mirror://gnome/sources/iagno/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "1y9sknc5k2vfhbv8bhbid4zwwzqj8g5m1jz0k5bfkixaa1kxvp35"; + sha256 = "0r31k6b8mqgn5c55hi94gpdr776ykq7ww55vq6pmp5irhdwb3gn9"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/desktops/gnome-3/misc/gitg/default.nix b/nixpkgs/pkgs/desktops/gnome-3/misc/gitg/default.nix index 94418849b98..d5617ee493d 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/misc/gitg/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/misc/gitg/default.nix @@ -24,7 +24,6 @@ , meson , ninja , python3 -, hicolor-icon-theme , libdazzle }: @@ -66,7 +65,6 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ gobject-introspection - hicolor-icon-theme gettext meson ninja diff --git a/nixpkgs/pkgs/desktops/gnome-3/misc/gnome-flashback/default.nix b/nixpkgs/pkgs/desktops/gnome-3/misc/gnome-flashback/default.nix index e809cc7f44f..e381860ca2c 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/misc/gnome-flashback/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/misc/gnome-flashback/default.nix @@ -26,14 +26,14 @@ let pname = "gnome-flashback"; - version = "3.34.0"; + version = "3.34.1"; requiredComponents = wmName: "RequiredComponents=${wmName};gnome-flashback;gnome-panel;org.gnome.SettingsDaemon.A11ySettings;org.gnome.SettingsDaemon.Color;org.gnome.SettingsDaemon.Datetime;org.gnome.SettingsDaemon.Housekeeping;org.gnome.SettingsDaemon.Keyboard;org.gnome.SettingsDaemon.MediaKeys;org.gnome.SettingsDaemon.Power;org.gnome.SettingsDaemon.PrintNotifications;org.gnome.SettingsDaemon.Rfkill;org.gnome.SettingsDaemon.ScreensaverProxy;org.gnome.SettingsDaemon.Sharing;org.gnome.SettingsDaemon.Smartcard;org.gnome.SettingsDaemon.Sound;org.gnome.SettingsDaemon.Wacom;org.gnome.SettingsDaemon.XSettings;"; gnome-flashback = stdenv.mkDerivation rec { name = "${pname}-${version}"; src = fetchurl { url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; - sha256 = "1ryr28psrjr3kp0in99a12y4vy6kvi2mvhp174dli2a56ds16mgj"; + sha256 = "0xxw5w66gx04amyxhf4xbz0s4bf0rdp7y9nyrf6bb4xdc6b0rfnx"; }; # make .desktop Execs absolute diff --git a/nixpkgs/pkgs/desktops/gnome-3/misc/gnome-packagekit/default.nix b/nixpkgs/pkgs/desktops/gnome-3/misc/gnome-packagekit/default.nix index ea9a79de40e..ce6d943c39f 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/misc/gnome-packagekit/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/misc/gnome-packagekit/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, pkgconfig, meson, ninja, gettext, gnome3, packagekit, polkit -, gtk3, systemd, wrapGAppsHook, desktop-file-utils, hicolor-icon-theme }: +, gtk3, systemd, wrapGAppsHook, desktop-file-utils }: stdenv.mkDerivation rec { pname = "gnome-packagekit"; @@ -12,7 +12,6 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ pkgconfig meson ninja gettext wrapGAppsHook desktop-file-utils - hicolor-icon-theme # for setup-hook ]; buildInputs = [ gtk3 packagekit systemd polkit ]; diff --git a/nixpkgs/pkgs/desktops/gnome-3/misc/gpaste/default.nix b/nixpkgs/pkgs/desktops/gnome-3/misc/gpaste/default.nix index ebedf0c81ff..00e21e30a29 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/misc/gpaste/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/misc/gpaste/default.nix @@ -1,16 +1,47 @@ -{ stdenv, fetchurl, autoreconfHook, pkgconfig, vala, glib, gjs, mutter -, pango, gtk3, gnome3, dbus, clutter, appstream-glib, wrapGAppsHook, gobject-introspection }: +{ stdenv +, fetchFromGitHub +, fetchpatch +, appstream-glib +, clutter +, gjs +, glib +, gnome3 +, gobject-introspection +, gtk3 +, meson +, mutter +, ninja +, pango +, pkgconfig +, vala +, wrapGAppsHook +}: stdenv.mkDerivation rec { - version = "3.34.0"; + version = "3.34.1"; pname = "gpaste"; - src = fetchurl { - url = "https://github.com/Keruspe/GPaste/archive/v${version}.tar.gz"; - sha256 = "0mih07b3mb0m1bk8ng9175fgvdbmvsacl4v4kvdnnlnql6rh47gv"; + src = fetchFromGitHub { + owner = "Keruspe"; + repo = "GPaste"; + rev = "v${version}"; + sha256 = "1jcj0kgxhad8rblyqhwa2yhkf0010k80w9bm2rajanad2c3bqaxa"; }; patches = [ + # Meson fixes + # https://github.com/Keruspe/GPaste/pull/283 + # install systemd units + (fetchpatch { + url = "https://github.com/Keruspe/GPaste/commit/a474d8c1f2bd600476ba52dc19f517787845533b.patch"; + sha256 = "19m1ar61l2n0vb5a5qfhdny8giivqlyq04l3j9i8llv16vx80rg2"; + }) + # apply symbol versioning + (fetchpatch { + url = "https://github.com/Keruspe/GPaste/commit/08047752e8dba9363673ddefd422c43075f08006.patch"; + sha256 = "0jvcs1a17sijvb2wqyn3y8shdxrhv4kwzxs39kmh9y8nyx2dzhpf"; + }) + ./fix-paths.patch ]; @@ -26,24 +57,37 @@ stdenv.mkDerivation rec { ''; nativeBuildInputs = [ - autoreconfHook pkgconfig vala appstream-glib wrapGAppsHook + appstream-glib + gobject-introspection + meson + ninja + pkgconfig + vala + wrapGAppsHook ]; + buildInputs = [ - glib gjs mutter gtk3 dbus - clutter pango gobject-introspection + clutter # required by mutter-clutter + gjs + glib + gtk3 + mutter + pango ]; - configureFlags = [ - "--with-controlcenterdir=${placeholder "out"}/share/gnome-control-center/keybindings" - "--with-dbusservicesdir=${placeholder "out"}/share/dbus-1/services" - "--with-systemduserunitdir=${placeholder "out"}/etc/systemd/user" + mesonFlags = [ + "-Dcontrol-center-keybindings-dir=${placeholder "out"}/share/gnome-control-center/keybindings" + "-Ddbus-services-dir=${placeholder "out"}/share/dbus-1/services" + "-Dsystemd-user-unit-dir=${placeholder "out"}/etc/systemd/user" ]; - enableParallelBuilding = true; + postInstall = '' + ${glib.dev}/bin/glib-compile-schemas "$out/share/glib-2.0/schemas" + ''; meta = with stdenv.lib; { - homepage = https://github.com/Keruspe/GPaste; - description = "Clipboard management system with GNOME3 integration"; + homepage = "https://github.com/Keruspe/GPaste"; + description = "Clipboard management system with GNOME 3 integration"; license = licenses.gpl3; platforms = platforms.linux; maintainers = gnome3.maintainers; diff --git a/nixpkgs/pkgs/desktops/gnome-3/misc/pomodoro/default.nix b/nixpkgs/pkgs/desktops/gnome-3/misc/pomodoro/default.nix index 0080177df71..1dbd9ce5bfe 100644 --- a/nixpkgs/pkgs/desktops/gnome-3/misc/pomodoro/default.nix +++ b/nixpkgs/pkgs/desktops/gnome-3/misc/pomodoro/default.nix @@ -22,14 +22,14 @@ }: stdenv.mkDerivation rec { - pname = "gnome-shell-pomodoro-unstable"; - version = "2019-09-15"; + pname = "gnome-shell-pomodoro"; + version = "0.16.0"; src = fetchFromGitHub { owner = "codito"; repo = "gnome-pomodoro"; - rev = "cb1ad32587c209d3322cd1bbc139efa624a4436f"; - sha256 = "1zp3z043zzkkn2305s55hnpf9bdzirlzljpzswfh1fj6j4dqlb9k"; + rev = version; + sha256 = "1bjsjkbms9irn7nkzi0hmgr5vwcgh9xzr5vw4sk2n711wj5d96bi"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/desktops/lxqt/obconf-qt/default.nix b/nixpkgs/pkgs/desktops/lxqt/obconf-qt/default.nix index a5be8f41967..1333d027fca 100644 --- a/nixpkgs/pkgs/desktops/lxqt/obconf-qt/default.nix +++ b/nixpkgs/pkgs/desktops/lxqt/obconf-qt/default.nix @@ -1,5 +1,5 @@ { lib, mkDerivation, fetchFromGitHub, cmake, pkgconfig, pcre, qtbase, qttools, - qtx11extras, xorg, lxqt-build-tools, openbox, hicolor-icon-theme }: + qtx11extras, xorg, lxqt-build-tools, openbox }: mkDerivation rec { pname = "obconf-qt"; @@ -27,7 +27,6 @@ mkDerivation rec { xorg.libXdmcp xorg.libSM openbox - hicolor-icon-theme ]; meta = with lib; { diff --git a/nixpkgs/pkgs/desktops/mate/mate-icon-theme-faenza/default.nix b/nixpkgs/pkgs/desktops/mate/mate-icon-theme-faenza/default.nix index 7db01f59816..1e1b2b837c3 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-icon-theme-faenza/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-icon-theme-faenza/default.nix @@ -11,7 +11,13 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ autoreconfHook gtk3 ]; - buildInputs = [ mate.mate-icon-theme hicolor-icon-theme ]; + buildInputs = [ mate.mate-icon-theme ]; + + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + + dontDropIconThemeCache = true; postInstall = '' for theme in "$out"/share/icons/*; do diff --git a/nixpkgs/pkgs/desktops/mate/mate-icon-theme/default.nix b/nixpkgs/pkgs/desktops/mate/mate-icon-theme/default.nix index b3610c12de6..74777a7ef5e 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-icon-theme/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-icon-theme/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchurl, pkgconfig, intltool, iconnamingutils, librsvg, hicolor-icon-theme, gtk3 }: +{ stdenv, fetchurl, pkgconfig, intltool, iconnamingutils, librsvg, gtk3, hicolor-icon-theme }: stdenv.mkDerivation rec { pname = "mate-icon-theme"; @@ -11,7 +11,13 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ pkgconfig intltool iconnamingutils ]; - buildInputs = [ librsvg hicolor-icon-theme ]; + buildInputs = [ librsvg ]; + + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + + dontDropIconThemeCache = true; postInstall = '' for theme in "$out"/share/icons/*; do diff --git a/nixpkgs/pkgs/desktops/mate/mate-netbook/default.nix b/nixpkgs/pkgs/desktops/mate/mate-netbook/default.nix index 51d23e475ff..077ba2268c9 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-netbook/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-netbook/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { pname = "mate-netbook"; - version = "1.22.1"; + version = "1.22.2"; src = fetchurl { url = "http://pub.mate-desktop.org/releases/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "00n162bskbvxhy4k2w14f9zwlsg3wgi43228ssx7sc2p95psmm64"; + sha256 = "0m38v2276s2d3zs7smxyf70nyl7bcwp5665zgva28lvs8ip3gijx"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/desktops/mate/mate-settings-daemon/default.nix b/nixpkgs/pkgs/desktops/mate/mate-settings-daemon/default.nix index 10c77304a8f..d03863b9048 100644 --- a/nixpkgs/pkgs/desktops/mate/mate-settings-daemon/default.nix +++ b/nixpkgs/pkgs/desktops/mate/mate-settings-daemon/default.nix @@ -1,7 +1,7 @@ { stdenv, fetchurl, pkgconfig, intltool, glib, dbus-glib, libxklavier, libcanberra-gtk3, libnotify, nss, polkit, gnome3, gtk3, mate, pulseaudioSupport ? stdenv.config.pulseaudio or true, libpulseaudio, - wrapGAppsHook }: + wrapGAppsHook, fetchpatch }: stdenv.mkDerivation rec { pname = "mate-settings-daemon"; @@ -12,6 +12,14 @@ stdenv.mkDerivation rec { sha256 = "0idw02z0iig0pfxvlhc4dq4sr7kl1w50xscvg0jzzswnxid2l4ip"; }; + patches = [ + # Don't use etc/dbus-1/system.d + (fetchpatch { + url = "https://patch-diff.githubusercontent.com/raw/mate-desktop/mate-settings-daemon/pull/296.patch"; + sha256 = "00dfn8h47zw3wr7yya82vvp19wsw51whn8jwgayn4hkjd161s9nm"; + }) + ]; + nativeBuildInputs = [ pkgconfig intltool diff --git a/nixpkgs/pkgs/desktops/pantheon/artwork/elementary-icon-theme/default.nix b/nixpkgs/pkgs/desktops/pantheon/artwork/elementary-icon-theme/default.nix index bdf42b1c1ef..7467bd124ac 100644 --- a/nixpkgs/pkgs/desktops/pantheon/artwork/elementary-icon-theme/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/artwork/elementary-icon-theme/default.nix @@ -39,6 +39,8 @@ stdenv.mkDerivation rec { hicolor-icon-theme ]; + dontDropIconThemeCache = true; + mesonFlags = [ "-Dvolume_icons=false" # Tries to install some icons to / "-Dpalettes=false" # Don't install gimp and inkscape palette files diff --git a/nixpkgs/pkgs/desktops/pantheon/granite/default.nix b/nixpkgs/pkgs/desktops/pantheon/granite/default.nix index 287254c9615..b93eb2f3f9b 100644 --- a/nixpkgs/pkgs/desktops/pantheon/granite/default.nix +++ b/nixpkgs/pkgs/desktops/pantheon/granite/default.nix @@ -11,7 +11,6 @@ , gtk3 , glib , gettext -, hicolor-icon-theme , gobject-introspection , wrapGAppsHook }: @@ -62,7 +61,6 @@ stdenv.mkDerivation rec { buildInputs = [ glib gtk3 - hicolor-icon-theme libgee ]; diff --git a/nixpkgs/pkgs/desktops/rox/rox-filer/default.nix b/nixpkgs/pkgs/desktops/rox/rox-filer/default.nix index 543e3ca897f..05f5936a6cb 100644 --- a/nixpkgs/pkgs/desktops/rox/rox-filer/default.nix +++ b/nixpkgs/pkgs/desktops/rox/rox-filer/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchurl, pkgconfig, libxml2, gtk, libSM, shared-mime-info, hicolor-icon-theme }: +{ stdenv, fetchurl, pkgconfig, libxml2, gtk, libSM, shared-mime-info }: let version = "2.11"; @@ -12,7 +12,7 @@ in stdenv.mkDerivation { }; nativeBuildInputs = [ pkgconfig ]; - buildInputs = [ libxml2 gtk shared-mime-info hicolor-icon-theme libSM ]; + buildInputs = [ libxml2 gtk shared-mime-info libSM ]; NIX_LDFLAGS = [ "-ldl" "-lm" ]; patches = [ diff --git a/nixpkgs/pkgs/development/compilers/compcert/default.nix b/nixpkgs/pkgs/development/compilers/compcert/default.nix index 940eb851fe6..3a6c5e9c2c7 100644 --- a/nixpkgs/pkgs/development/compilers/compcert/default.nix +++ b/nixpkgs/pkgs/development/compilers/compcert/default.nix @@ -4,7 +4,7 @@ }: assert lib.versionAtLeast ocamlPackages.ocaml.version "4.02"; -assert lib.versionAtLeast coq.coq-version "8.6.1"; +assert lib.versionAtLeast coq.coq-version "8.8.0"; let ocaml-pkgs = with ocamlPackages; [ ocaml findlib menhir ]; @@ -12,13 +12,13 @@ let in stdenv.mkDerivation rec { pname = "compcert"; - version = "3.5"; + version = "3.6"; src = fetchFromGitHub { owner = "AbsInt"; repo = "CompCert"; rev = "v${version}"; - sha256 = "1g8067a5x3vd0l47d04gjvy5yx49nghh55am5d1fbrjirfsnsz8j"; + sha256 = "1k9xhj7fgllhf7bn7rp3w6zfvs4clglnc4w39zp4678hrwvdcpha"; }; nativeBuildInputs = [ makeWrapper ]; @@ -27,7 +27,6 @@ stdenv.mkDerivation rec { patchPhase = '' substituteInPlace ./configure \ - --replace '|8.9.0' '|8.9.0|8.9.1' \ --replace '{toolprefix}gcc' '{toolprefix}cc' ''; diff --git a/nixpkgs/pkgs/development/compilers/elm/default.nix b/nixpkgs/pkgs/development/compilers/elm/default.nix index 676fa19d9e8..6949f6e3b2a 100644 --- a/nixpkgs/pkgs/development/compilers/elm/default.nix +++ b/nixpkgs/pkgs/development/compilers/elm/default.nix @@ -4,20 +4,11 @@ let fetchElmDeps = import ./fetchElmDeps.nix { inherit stdenv lib fetchurl; }; - patchBinwrap = import ./packages/patch-binwrap.nix { inherit lib writeScriptBin stdenv; }; - - elmNodePackages = - import ./packages/node-composition.nix { - inherit nodejs pkgs; - inherit (stdenv.hostPlatform) system; - }; - hsPkgs = haskell.packages.ghc865.override { overrides = self: super: with haskell.lib; let elmPkgs = rec { elm = overrideCabal (self.callPackage ./packages/elm.nix { }) (drv: { # sadly with parallelism most of the time breaks compilation - # also compilation is slower with increasing number of cores anyway (Tested on Ryzen 7 and i7) enableParallelBuilding = false; preConfigure = self.fetchElmDeps { elmPackages = (import ./packages/elm-srcs.nix); @@ -42,25 +33,10 @@ let `package/nix/build.sh` */ elm-format = justStaticExecutables (doJailbreak (self.callPackage ./packages/elm-format.nix {})); - elmi-to-json = justStaticExecutables (self.callPackage ./packages/elmi-to-json.nix {}); inherit fetchElmDeps; elmVersion = elmPkgs.elm.version; - - /* - Node/NPM based dependecies can be upgraded using script - `packages/generate-node-packages.sh`. - Packages which rely on `bin-wrap` will fail by default - and can be patched using `patchBinwrap` function defined in `packages/patch-binwrap.nix`. - */ - elm-test = patchBinwrap [elmi-to-json] elmNodePackages.elm-test; - elm-verify-examples = patchBinwrap [elmi-to-json] elmNodePackages.elm-verify-examples; - elm-language-server = elmNodePackages."@elm-tooling/elm-language-server"; - - # elm-analyse@0.16.4 build is not working - elm-analyse = elmNodePackages."elm-analyse-0.16.3"; - inherit (elmNodePackages) elm-doc-preview elm-live elm-upgrade elm-xref; }; in elmPkgs // { inherit elmPkgs; @@ -69,4 +45,31 @@ let indents = self.callPackage ./packages/indents.nix {}; }; }; -in hsPkgs.elmPkgs + + /* + Node/NPM based dependecies can be upgraded using script + `packages/generate-node-packages.sh`. + Packages which rely on `bin-wrap` will fail by default + and can be patched using `patchBinwrap` function defined in `packages/patch-binwrap.nix`. + */ + elmNodePackages = + let + nodePkgs = import ./packages/node-composition.nix { + inherit nodejs pkgs; + inherit (stdenv.hostPlatform) system; + }; + in with hsPkgs.elmPkgs; { + elm-test = patchBinwrap [elmi-to-json] nodePkgs.elm-test; + elm-verify-examples = patchBinwrap [elmi-to-json] nodePkgs.elm-verify-examples; + elm-language-server = nodePkgs."@elm-tooling/elm-language-server"; + + # elm-analyse@0.16.4 build is not working + elm-analyse = nodePkgs."elm-analyse-0.16.3"; + inherit (nodePkgs) elm-doc-preview elm-live elm-upgrade elm-xref; + }; + + patchBinwrap = import ./packages/patch-binwrap.nix { inherit lib writeScriptBin stdenv; }; + +in hsPkgs.elmPkgs // elmNodePackages // { + lib = { inherit patchBinwrap; }; +} diff --git a/nixpkgs/pkgs/development/compilers/gcc/8/default.nix b/nixpkgs/pkgs/development/compilers/gcc/8/default.nix index e25c7b6016a..b84b8958325 100644 --- a/nixpkgs/pkgs/development/compilers/gcc/8/default.nix +++ b/nixpkgs/pkgs/development/compilers/gcc/8/default.nix @@ -378,9 +378,6 @@ stdenv.mkDerivation ({ stdenv.lib.platforms.freebsd ++ stdenv.lib.platforms.illumos ++ stdenv.lib.platforms.darwin; - - # See #40038 - broken = stdenv.isDarwin; }; } diff --git a/nixpkgs/pkgs/development/compilers/go/1.12.nix b/nixpkgs/pkgs/development/compilers/go/1.12.nix index 7a6c0b041fc..ce8d0223714 100644 --- a/nixpkgs/pkgs/development/compilers/go/1.12.nix +++ b/nixpkgs/pkgs/development/compilers/go/1.12.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchurl, fetchpatch, tzdata, iana-etc, runCommand +{ stdenv, fetchurl, tzdata, iana-etc, runCommand , perl, which, pkgconfig, patch, procps, pcre, cacert, Security, Foundation , mailcap, runtimeShell , buildPackages, pkgsTargetTarget @@ -96,6 +96,12 @@ stdenv.mkDerivation rec { # Disable cgo lookup tests not works, they depend on resolver rm src/net/cgo_unix_test.go + # Disable TestGcSys because it's flakey in our tests, but the failure is not + # reproducible by multiple people in other environments. + # See https://github.com/NixOS/nixpkgs/issues/68361#issuecomment-537849272 and following + # NOTE: Try re-enabling for releases newer than 1.12.9 + sed -i '/TestGcSys/areturn' src/runtime/gc_test.go + '' + optionalString stdenv.isLinux '' sed -i 's,/usr/share/zoneinfo/,${tzdata}/share/zoneinfo/,' src/time/zoneinfo_unix.go '' + optionalString stdenv.isAarch32 '' @@ -137,11 +143,6 @@ stdenv.mkDerivation rec { ./skip-nohup-tests.patch # breaks under load: https://github.com/golang/go/issues/25628 ./skip-test-extra-files-on-386.patch - (fetchpatch { # probably included in >= 1.12.10 - url = "https://github.com/golang/go/commit/aae0b5b0b.diff"; - name = "TestGcSys-too-much-memory.diff"; - sha256 = "1bl9d2pl6n99n9g65cq91sygmp1iva5rmrxbprwn4xd0ql36psa8"; - }) ]; postPatch = '' diff --git a/nixpkgs/pkgs/development/compilers/intel-graphics-compiler/default.nix b/nixpkgs/pkgs/development/compilers/intel-graphics-compiler/default.nix new file mode 100644 index 00000000000..c8fbffcc164 --- /dev/null +++ b/nixpkgs/pkgs/development/compilers/intel-graphics-compiler/default.nix @@ -0,0 +1,73 @@ +{ stdenv +, fetchFromGitHub +, cmake +, pkgconfig + +, bison +, flex +, llvmPackages_8 +, opencl-clang +, python +, spirv-llvm-translator + +, buildWithPatches ? true +}: + +let + llvmPkgs = llvmPackages_8 // { + inherit spirv-llvm-translator; + }; + inherit (llvmPkgs) llvm; + inherit (if buildWithPatches then opencl-clang else llvmPkgs) clang clang-unwrapped spirv-llvm-translator; + inherit (stdenv.lib) getVersion optional optionals versionOlder versions; +in + +stdenv.mkDerivation rec { + pname = "intel-graphics-compiler"; + version = "1.0.10"; + + src = fetchFromGitHub { + owner = "intel"; + repo = "intel-graphics-compiler"; + rev = "igc-${version}"; + sha256 = "1yqd2zvvvxxxzb5d3v0f03n0jdivid5l2cj11dw7ff7xz7jwiv2i"; + }; + + nativeBuildInputs = [ clang cmake bison flex llvm python ]; + + buildInputs = [ clang opencl-clang spirv-llvm-translator ]; + + # checkInputs = [ lit pythonPackages.nose ]; + + # FIXME: How do we run the test suite? + # https://github.com/intel/intel-graphics-compiler/issues/98 + doCheck = false; + + # Handholding the braindead build script + # We put this in a derivation because the cmake requires an absolute path + prebuilds = stdenv.mkDerivation { + name = "igc-cclang-prebuilds"; + phases = [ "installPhase" ]; + installPhase = '' + mkdir $out + ln -s ${clang}/bin/clang $out/ + ln -s clang $out/clang-${versions.major (getVersion clang)} + ln -s ${opencl-clang}/lib/* $out/ + ln -s ${clang-unwrapped}/lib/clang/${getVersion clang}/include/opencl-c.h $out/ + ''; + }; + + cmakeFlags = [ + "-DCCLANG_BUILD_PREBUILDS=ON" + "-DCCLANG_BUILD_PREBUILDS_DIR=${prebuilds}" + "-DIGC_PREFERRED_LLVM_VERSION=${getVersion llvm}" + ]; + + meta = with stdenv.lib; { + homepage = https://github.com/intel/intel-graphics-compiler; + description = "LLVM-based compiler for OpenCL targeting Intel Gen graphics hardware"; + license = licenses.mit; + platforms = platforms.all; + maintainers = with maintainers; [ gloaming ]; + }; +} diff --git a/nixpkgs/pkgs/development/compilers/ldc/default.nix b/nixpkgs/pkgs/development/compilers/ldc/default.nix index 787a9352e22..03e6fa82b9d 100644 --- a/nixpkgs/pkgs/development/compilers/ldc/default.nix +++ b/nixpkgs/pkgs/development/compilers/ldc/default.nix @@ -2,8 +2,8 @@ , python, libconfig, lit, gdb, unzip, darwin, bash , callPackage, makeWrapper, targetPackages , bootstrapVersion ? false -, version ? "1.16.0" -, ldcSha256 ? "00kk6pijn1ay2kkrp6b5ismawxr10azwij89k1rkszavqq6rsva2" +, version ? "1.17.0" +, ldcSha256 ? "1aag5jfrng6p4ms0fs90hjbv9bcj3hj8h52r68c3cm6racdajbva" }: let diff --git a/nixpkgs/pkgs/development/compilers/nextpnr/default.nix b/nixpkgs/pkgs/development/compilers/nextpnr/default.nix index d9f6f9f65dd..f0c1ba14c48 100644 --- a/nixpkgs/pkgs/development/compilers/nextpnr/default.nix +++ b/nixpkgs/pkgs/development/compilers/nextpnr/default.nix @@ -14,15 +14,26 @@ let in with stdenv; mkDerivation rec { pname = "nextpnr"; - version = "2019.09.28"; + version = "2019.10.13"; - src = fetchFromGitHub { - owner = "yosyshq"; - repo = "nextpnr"; - rev = "7cd1e0495122847611b17a8d1f007d97a05b288c"; - sha256 = "13y739l92plb22g73jf35pyh3y94b2vq0i65r9c31r2rb7fw4bbl"; - fetchSubmodules = true; - }; + srcs = [ + (fetchFromGitHub { + owner = "YosysHQ"; + repo = "nextpnr"; + rev = "c365dd1cabc3a4308ab9110534918623622c246b"; + sha256 = "1344pyq9xb5y1vxsnfgr488drfjsa6ls1jck0z9hwam6vg55s10r"; + name = "nextpnr"; + }) + (fetchFromGitHub { + owner = "YosysHQ"; + repo = "nextpnr-tests"; + rev = "8f93e7e0f897b1b5da469919c9a43ba28b623b2a"; + sha256 = "0zpd0w49k9l7rs3wmi2v8z5s4l4lad5rprs5l83w13667himpzyc"; + name = "nextpnr-tests"; + }) + ]; + + sourceRoot = "nextpnr"; nativeBuildInputs = [ cmake ] @@ -51,13 +62,17 @@ with stdenv; mkDerivation rec { # but works ok. We should probably make this overrideable upstream. patchPhase = with builtins; '' substituteInPlace ./CMakeLists.txt \ - --replace 'git log -1 --format=%h' 'echo ${substring 0 11 src.rev}' + --replace 'git log -1 --format=%h' 'echo ${substring 0 11 (elemAt srcs 0).rev}' # use PyPy for icestorm if enabled substituteInPlace ./ice40/family.cmake \ --replace ''\'''${PYTHON_EXECUTABLE}' '${icestorm.pythonInterp}' ''; + preBuild = '' + ln -s ../nextpnr-tests tests + ''; + doCheck = true; postFixup = lib.optionalString enableGui '' diff --git a/nixpkgs/pkgs/development/compilers/pakcs/default.nix b/nixpkgs/pkgs/development/compilers/pakcs/default.nix index a118e7ab73b..0b46bd1f24d 100644 --- a/nixpkgs/pkgs/development/compilers/pakcs/default.nix +++ b/nixpkgs/pkgs/development/compilers/pakcs/default.nix @@ -4,13 +4,13 @@ , curl, git, unzip, gnutar, coreutils, sqlite }: let - name = "pakcs-2.1.1"; + name = "pakcs-2.1.2"; # Don't switch to development release without a reason, because its # source updates without version bump. Prefer current release instead. src = fetchurl { url = "https://www.informatik.uni-kiel.de/~pakcs/download/${name}-src.tar.gz"; - sha256 = "112v9ynqfbbm4x770mcfrai9v5bh7c3zn7jka80pv6v4y65r778c"; + sha256 = "0i0nprli3knc7zlp5qkqkpiq3ny36v52hnvgph376l3ajjds7wf6"; }; curry-frontend = (haskellPackages.override { @@ -43,9 +43,14 @@ in stdenv.mkDerivation { # Since we can't expand $out in `makeFlags` #makeFlags="$makeFlags PAKCSINSTALLDIR=$out/pakcs" - substituteInPlace currytools/cpm/src/CPM/Repository.curry \ - --replace "/bin/rm" "rm" - ''; + for file in currytools/cpm/src/CPM/Repository.curry \ + currytools/cpm/src/CPM/Repository/CacheDB.curry \ + scripts/compile-all-libs.sh \ + scripts/cleancurry.sh \ + examples/test.sh testsuite/test.sh lib/test.sh; do + substituteInPlace $file --replace "/bin/rm" "rm" + done + '' ; # cypm new: EXISTENCE ERROR: source_sink # "/tmp/nix-build-pakcs-2.0.2.drv-0/pakcs-2.0.2/currytools/cpm/templates/LICENSE" diff --git a/nixpkgs/pkgs/development/compilers/spirv-llvm-translator/default.nix b/nixpkgs/pkgs/development/compilers/spirv-llvm-translator/default.nix new file mode 100644 index 00000000000..1ee0b9ed0cc --- /dev/null +++ b/nixpkgs/pkgs/development/compilers/spirv-llvm-translator/default.nix @@ -0,0 +1,38 @@ +{ stdenv +, fetchFromGitHub +, cmake + +, lit +, llvm_8 +}: + +stdenv.mkDerivation rec { + pname = "SPIRV-LLVM-Translator"; + version = "8.0.1-2"; + + src = fetchFromGitHub { + owner = "KhronosGroup"; + repo = "SPIRV-LLVM-Translator"; + rev = "v${version}"; + sha256 = "0hxalc3fkliqs61hpr97phbm3qsx4b8vgnlg30aimzr6aas403r5"; + }; + + nativeBuildInputs = [ cmake ]; + + buildInputs = [ llvm_8 ]; + + checkInputs = [ lit ]; + + cmakeFlags = [ "-DLLVM_INCLUDE_TESTS=ON" ]; + + # FIXME: CMake tries to run "/llvm-lit" which of course doesn't exist + doCheck = false; + + meta = with stdenv.lib; { + homepage = https://github.com/KhronosGroup/SPIRV-LLVM-Translator; + description = "A tool and a library for bi-directional translation between SPIR-V and LLVM IR"; + license = licenses.ncsa; + platforms = platforms.all; + maintainers = with maintainers; [ gloaming ]; + }; +} diff --git a/nixpkgs/pkgs/development/compilers/swi-prolog/default.nix b/nixpkgs/pkgs/development/compilers/swi-prolog/default.nix index 9245e59553f..cbcfd7efb40 100644 --- a/nixpkgs/pkgs/development/compilers/swi-prolog/default.nix +++ b/nixpkgs/pkgs/development/compilers/swi-prolog/default.nix @@ -2,12 +2,14 @@ , libarchive, db, pcre, libedit, libossp_uuid, libXpm , libSM, libXt, freetype, pkgconfig, fontconfig, makeWrapper ? stdenv.isDarwin , git, cacert, cmake, libyaml +, libjpeg, libX11, libXext, libXft, libXinerama , extraLibraries ? [ jdk unixODBC libXpm libSM libXt freetype fontconfig ] , extraPacks ? [] +, withGui ? false }: let - version = "8.1.4"; + version = "8.1.15"; packInstall = swiplPath: pack: ''${swiplPath}/bin/swipl -g "pack_install(${pack}, [package_directory(\"${swiplPath}/lib/swipl/pack\"), silent(true), interactive(false)])." -t "halt." ''; @@ -19,12 +21,13 @@ stdenv.mkDerivation { src = fetchgit { url = "https://github.com/SWI-Prolog/swipl-devel"; rev = "V${version}"; - sha256 = "0qxa6f5dypwczxajlf0l736adbjb17cbak3qsh5g04hpv2bxm6dh"; + sha256 = "0czbrscx2s4079nmwvipp9cnwfny16m3fpnp823llm7wyljchgvq"; }; buildInputs = [ cacert git cmake gmp readline openssl libarchive libyaml db pcre libedit libossp_uuid zlib pkgconfig ] + ++ stdenv.lib.optionals (withGui && !stdenv.isDarwin) [ libXpm libX11 libXext libXft libXinerama libjpeg ] ++ extraLibraries ++ stdenv.lib.optional stdenv.isDarwin makeWrapper; @@ -68,7 +71,7 @@ stdenv.mkDerivation { description = "A Prolog compiler and interpreter"; license = "LGPL"; - platforms = stdenv.lib.platforms.unix; + platforms = stdenv.lib.platforms.linux ++ stdenv.lib.optionals (!withGui) stdenv.lib.platforms.darwin; maintainers = [ stdenv.lib.maintainers.meditans ]; }; } diff --git a/nixpkgs/pkgs/development/compilers/yosys/default.nix b/nixpkgs/pkgs/development/compilers/yosys/default.nix index 79c8f67b9e0..8948af17145 100644 --- a/nixpkgs/pkgs/development/compilers/yosys/default.nix +++ b/nixpkgs/pkgs/development/compilers/yosys/default.nix @@ -9,14 +9,14 @@ with builtins; stdenv.mkDerivation rec { pname = "yosys"; - version = "2019.09.27"; + version = "2019.10.18"; srcs = [ (fetchFromGitHub { owner = "yosyshq"; repo = "yosys"; - rev = "c372e7baf9c48d41ebdbea4486a72e8dfaaddd3d"; - sha256 = "18cyz900haf8lkpddqn0sns0a3hc8fqndzz7gg391671hzvy820k"; + rev = "3c41599ee1f62e4d77ba630fa1a245ef3fe236fa"; + sha256 = "0jg2g8v08ax1q6qlvn8c1h147m03adzrgf21043xwbh4c7s5k137"; name = "yosys"; }) @@ -26,8 +26,8 @@ stdenv.mkDerivation rec { (fetchFromGitHub { owner = "berkeley-abc"; repo = "abc"; - rev = "5776ad07e7247993976bffed4802a5737c456782"; - sha256 = "1la4idmssg44rp6hd63sd5vybvs3vr14yzvwcg03ls37p39cslnl"; + rev = "623b5e82513d076a19f864c01930ad1838498894"; + sha256 = "1mrfqwsivflqdzc3531r6mzp33dfyl6dnqjdwfcq137arqh36m67"; name = "yosys-abc"; }) ]; diff --git a/nixpkgs/pkgs/development/coq-modules/coq-elpi/default.nix b/nixpkgs/pkgs/development/coq-modules/coq-elpi/default.nix index c689cea1a82..defe52987aa 100644 --- a/nixpkgs/pkgs/development/coq-modules/coq-elpi/default.nix +++ b/nixpkgs/pkgs/development/coq-modules/coq-elpi/default.nix @@ -1,10 +1,10 @@ { stdenv, fetchFromGitHub, which, coq }: let params = { - "8.10" = { - version = "master"; - rev = "bc7134deba1aacc7ecd2f5d1032bdf05b125c568"; - sha256 = "188avk9irwjsbs5ya4ka01mpk3vw4397kv2rmsncqrrrsa1pdddk"; + "8.10" = rec { + version = "1.1.0"; + rev = "v${version}"; + sha256 = "06jyw7n27ylg02jvlaa3hs13hg8qgx47yn4dxhg9as1xri9a2rvm"; }; }; param = params.${coq.coq-version}; diff --git a/nixpkgs/pkgs/development/go-modules/generic/default.nix b/nixpkgs/pkgs/development/go-modules/generic/default.nix index 55fda78b03e..9adebe51247 100644 --- a/nixpkgs/pkgs/development/go-modules/generic/default.nix +++ b/nixpkgs/pkgs/development/go-modules/generic/default.nix @@ -73,6 +73,8 @@ let installPhase = args.modInstallPhase or '' runHook preInstall + # remove cached lookup results and tiles + rm -rf "''${GOPATH}/pkg/mod/cache/download/sumdb" cp -r "''${GOPATH}/pkg/mod/cache/download" $out runHook postInstall diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-common.nix b/nixpkgs/pkgs/development/haskell-modules/configuration-common.nix index 4505c73c9f9..fa48275d900 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-common.nix +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-common.nix @@ -15,6 +15,11 @@ with haskellLib; self: super: { + # Arion's test suite needs a Nixpkgs, which is cumbersome to do from Nixpkgs + # itself. For instance, pkgs.path has dirty sources and puts a huge .git in the + # store. Testing is done upstream. + arion-compose = dontCheck super.arion-compose; + # This used to be a core package provided by GHC, but then the compiler # dropped it. We define the name here to make sure that old packages which # depend on this library still evaluate (even though they won't compile @@ -69,7 +74,7 @@ self: super: { name = "git-annex-${super.git-annex.version}-src"; url = "git://git-annex.branchable.com/"; rev = "refs/tags/" + super.git-annex.version; - sha256 = "0v3wgx3qbillmnn3amnl568ls113y3qlyf3k7y5b9lmz22k93680"; + sha256 = "1dawd7cxqgzv1irzgl9smzdw7b4v59k8xa5gbldkbww0ashyb8qv"; }; }).override { dbus = if pkgs.stdenv.isLinux then self.dbus else null; @@ -589,9 +594,23 @@ self: super: { sets = dontCheck super.sets; # Install icons, metadata and cli program. - bustle = overrideCabal super.bustle (drv: { + # Do not build hgettext as it is broken + # https://gitlab.freedesktop.org/bustle/bustle/issues/13 + bustle = overrideCabal (disableCabalFlag (super.bustle.override { hgettext = null; }) "hgettext") (drv: { buildDepends = [ pkgs.libpcap ]; buildTools = with pkgs.buildPackages; [ gettext perl help2man ]; + patches = [ + # fix build + # https://gitlab.freedesktop.org/bustle/bustle/merge_requests/14 + (pkgs.fetchpatch { + url = "https://gitlab.freedesktop.org/bustle/bustle/commit/ee4b81cbc232d47ba9940f1987777b17452e71ff.patch"; + sha256 = "0v9cvbmrma5jcqcg1narpm1549h0cg8mr6i00qxmq0x6hs04dnwa"; + }) + (pkgs.fetchpatch { + url = "https://gitlab.freedesktop.org/bustle/bustle/commit/aae6843f51f54679d440fb3813e61355dc8406b9.patch"; + sha256 = "1a8hr38hd1gdkqhsy56hyl7njw8ci79iigr81aalkb7hn4ckvh2a"; + }) + ]; postInstall = '' make install PREFIX=$out ''; @@ -1123,11 +1142,6 @@ self: super: { # Jailbreak tasty < 1.2: https://github.com/phadej/tdigest/issues/30 tdigest = doJailbreak super.tdigest; # until tdigest > 0.2.1 - uri-bytestring = appendPatch super.uri-bytestring (pkgs.fetchpatch { - url = "https://github.com/Soostone/uri-bytestring/commit/e5c5602a97160a6a6304a24947e33e47c9155460.patch"; - sha256 = "1qwy8bj6vywhp0075dza8j90zrzsm3144qz3c703s9c4n6pg3gw4"; - }); - # Requires pg_ctl command during tests beam-postgres = overrideCabal super.beam-postgres (drv: { testToolDepends = (drv.testToolDepends or []) ++ [pkgs.postgresql]; @@ -1222,36 +1236,37 @@ self: super: { # The LTS-14.x version of optparse-applicative is too old. cabal-plan = super.cabal-plan.override { optparse-applicative = self.optparse-applicative_0_15_1_0; }; - # https://github.com/gtk2hs/gtk2hs/issues/276 - glib = appendPatch super.glib (pkgs.fetchpatch { - url = https://github.com/gtk2hs/gtk2hs/pull/282/commits/4bb428e144ef2de9390f0f2239dcc50b7fc9a259.patch; - sha256 = "1s72s683p2n5ri1a030zywciq0020ms64cmsy48axndp6dp9vri7"; - stripLen = 1; - }); - pango = appendPatch super.pango (pkgs.fetchpatch { - url = https://github.com/gtk2hs/gtk2hs/pull/282/commits/0a6016e89ce98415bb395ca0cfafeaacf3b3fce6.patch; - sha256 = "1n9spriinyif4h1h9mfj9k87b80kcs39qlym5yxnxxg0yszqqcpc"; - stripLen = 1; - }); - gtk3 = appendPatch super.gtk3 (pkgs.fetchpatch { - url = https://github.com/gtk2hs/gtk2hs/pull/282/commits/cc0d8e8ef9bdffc776182a1f92225750bfea8f57.patch; - sha256 = "175zs694d04d7jfj8xq33rizw38bc3ninr00n26jyrg39vgkmc5j"; - stripLen = 1; - }); - gio = appendPatch super.gio (pkgs.fetchpatch { - url = https://github.com/gtk2hs/gtk2hs/pull/282/commits/f0f7cf524f1beaf227d8cce140abdf7c45efc8c6.patch; - sha256 = "1fadmibpk0q38fzp6a8ss6b1kh7v5d5mw3s9i45cd4dsg86hqb0i"; - stripLen = 1; - }); - gtk = appendPatch super.gtk (pkgs.fetchpatch { - url = https://github.com/gtk2hs/gtk2hs/pull/282/commits/a09720ae8fdc2f9391ba88308312e42d091a4f88.patch; - sha256 = "12ja6sprzl9si51rng8s2xx66ihpm6d6p00qi5czkpkrhr0457n7"; - stripLen = 1; - postFetch = "sed -i -e s,gtk.cabal-renamed,gtk.cabal, $out"; - }); - # Version bounds for http-client are too strict: # https://github.com/bitnomial/prometheus/issues/34 prometheus = doJailbreak super.prometheus; + # Tasty-tap tests are out-of-date with TAP format + # https://github.com/MichaelXavier/tasty-tap/issues/2 + tasty-tap = appendPatch super.tasty-tap (pkgs.fetchpatch { + url = https://patch-diff.githubusercontent.com/raw/MichaelXavier/tasty-tap/pull/3.diff; + sha256 = "0l8zbc56dy8ilxl3k49aiknmfhgpcg3jhs72lh3dk51d0a09d9sv"; + }); + + # The doctests in universum-1.5.0 are broken. The doctests in versions of universum after + # 1.5.0 should be fixed, so this should be able to be removed. + universum = dontCheck super.universum; + + # https://github.com/erikd/hjsmin/issues/32 + hjsmin = dontCheck super.hjsmin; + + # https://github.com/blamario/grampa/issues/19 + rank2classes = dontCheck super.rank2classes; + + nix-tools = super.nix-tools.overrideScope (self: super: { + # Needs https://github.com/peti/hackage-db/pull/9 + hackage-db = super.hackage-db.overrideAttrs (old: { + src = pkgs.fetchFromGitHub { + owner = "ElvishJerricco"; + repo = "hackage-db"; + rev = "84ca9fc75ad45a71880e938e0d93ea4bde05f5bd"; + sha256 = "0y3kw1hrxhsqmyx59sxba8npj4ya8dpgjljc21gkgdvdy9628q4c"; + }; + }); + }); + } // import ./configuration-tensorflow.nix {inherit pkgs haskellLib;} self super diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix b/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix index 292a703b13c..79210747260 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-ghc-8.8.x.nix @@ -64,8 +64,11 @@ self: super: { tasty-hedgehog = doJailbreak super.tasty-hedgehog; test-framework = doJailbreak super.test-framework; th-expand-syns = doJailbreak super.th-expand-syns; + # TODO: remove when upstream accepts https://github.com/snapframework/io-streams-haproxy/pull/17 + io-streams-haproxy = doJailbreak super.io-streams-haproxy; # base >=4.5 && <4.13 # use latest version to fix the build + generics-sop = self.generics-sop_0_5_0_0; hackage-db = self.hackage-db_2_1_0; lens = self.lens_4_18_1; memory = self.memory_0_15_0; @@ -77,7 +80,8 @@ self: super: { regex-posix = self.regex-posix_0_96_0_0; regex-tdfa = self.regex-tdfa_1_3_0; shelly = self.shelly_1_9_0; - tls = self.tls_1_5_1; + sop-core = self.sop-core_0_5_0_0; + tls = self.tls_1_5_2; xmonad-contrib = self.xmonad-contrib_0_16; # These packages don't work and need patching and/or an update. diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix.yaml b/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix.yaml index 1b20a0b5b20..657fc791caf 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix.yaml +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-hackage2nix.yaml @@ -43,7 +43,7 @@ core-packages: - ghcjs-base-0 default-package-overrides: - # LTS Haskell 14.8 + # LTS Haskell 14.10 - abstract-deque ==0.3 - abstract-deque-tests ==0.3 - abstract-par ==0.3.3 @@ -247,7 +247,7 @@ default-package-overrides: - butcher ==1.3.2.3 - butter ==0.1.0.6 - bv ==0.5 - - bv-little ==1.1.0 + - bv-little ==1.1.1 - byteable ==0.1.1 - bytedump ==1.0 - byteorder ==1.0.4 @@ -423,7 +423,7 @@ default-package-overrides: - crypto-cipher-tests ==0.0.11 - crypto-cipher-types ==0.0.9 - cryptocompare ==0.1.1 - - crypto-enigma ==0.1.1.5 + - crypto-enigma ==0.1.1.6 - cryptohash ==0.11.9 - cryptohash-cryptoapi ==0.1.4 - cryptohash-md5 ==0.11.100.1 @@ -625,7 +625,7 @@ default-package-overrides: - eventful-sqlite ==0.2.0 - eventful-test-helpers ==0.2.0 - event-list ==0.1.2 - - eventstore ==1.3.2 + - eventstore ==1.3.3 - every ==0.0.1 - exact-combinatorics ==0.2.0.9 - exact-pi ==0.5.0.1 @@ -770,7 +770,7 @@ default-package-overrides: - ghc-compact ==0.1.0.0 - ghc-core ==0.5.6 - ghc-exactprint ==0.6.1 - - ghcid ==0.7.5 + - ghcid ==0.7.6 - ghci-hexcalc ==0.1.1.0 - ghcjs-codemirror ==0.0.0.2 - ghc-lib ==8.8.0.20190424 @@ -875,7 +875,7 @@ default-package-overrides: - haskell-src-meta ==0.8.3 - haskey-btree ==0.3.0.1 - haskintex ==0.8.0.0 - - haskoin-core ==0.9.1 + - haskoin-core ==0.9.2 - hasql ==1.4 - hasql-optparse-applicative ==0.3.0.5 - hasql-pool ==0.5.1 @@ -915,7 +915,7 @@ default-package-overrides: - hinfo ==0.0.3.0 - hinotify ==0.4 - hint ==0.9.0.2 - - hjsmin ==0.2.0.2 + - hjsmin ==0.2.0.3 - hkgr ==0.2.4 - hlibgit2 ==0.18.0.16 - hlibsass ==0.1.8.0 @@ -1011,7 +1011,7 @@ default-package-overrides: - http-client ==0.6.4 - http-client-tls ==0.3.5.3 - http-common ==0.8.2.0 - - http-conduit ==2.3.7.2 + - http-conduit ==2.3.7.3 - http-date ==0.0.8 - http-directory ==0.1.5 - http-download ==0.1.0.0 @@ -1028,30 +1028,30 @@ default-package-overrides: - hvect ==0.4.0.0 - hvega ==0.3.0.1 - hw-balancedparens ==0.2.0.4 - - hw-bits ==0.7.0.7 - - hw-conduit ==0.2.0.5 + - hw-bits ==0.7.0.8 + - hw-conduit ==0.2.0.6 - hw-conduit-merges ==0.2.0.0 - hw-diagnostics ==0.0.0.7 - hw-dsv ==0.3.5 - hweblib ==0.6.3 - hw-eliasfano ==0.1.1.0 - - hw-excess ==0.2.2.0 - - hw-fingertree ==0.1.1.0 - - hw-fingertree-strict ==0.1.1.2 - - hw-hedgehog ==0.1.0.3 - - hw-hspec-hedgehog ==0.1.0.8 - - hw-int ==0.0.0.3 - - hw-ip ==2.3.4.1 + - hw-excess ==0.2.2.1 + - hw-fingertree ==0.1.1.1 + - hw-fingertree-strict ==0.1.1.3 + - hw-hedgehog ==0.1.0.5 + - hw-hspec-hedgehog ==0.1.0.9 + - hw-int ==0.0.0.4 + - hw-ip ==2.3.4.2 - hw-json ==1.0.0.2 - - hw-json-simd ==0.1.0.3 - - hw-mquery ==0.2.0.1 + - hw-json-simd ==0.1.0.4 + - hw-mquery ==0.2.0.2 - hw-packed-vector ==0.0.0.3 - - hw-parser ==0.1.0.1 - - hw-prim ==0.6.2.35 + - hw-parser ==0.1.0.2 + - hw-prim ==0.6.2.36 - hw-rankselect ==0.13.0.0 - - hw-rankselect-base ==0.3.2.1 - - hw-simd ==0.1.1.4 - - hw-streams ==0.0.0.10 + - hw-rankselect-base ==0.3.2.3 + - hw-simd ==0.1.1.5 + - hw-streams ==0.0.0.12 - hw-string-parse ==0.0.0.4 - hw-succinct ==0.1.0.1 - hxt ==9.3.1.18 @@ -1080,7 +1080,7 @@ default-package-overrides: - Imlib ==0.1.2 - immortal ==0.3 - include-file ==0.1.0.4 - - incremental-parser ==0.3.2.2 + - incremental-parser ==0.3.3 - indentation-core ==0.0.0.2 - indentation-parsec ==0.0.0.2 - indents ==0.5.0.1 @@ -1103,7 +1103,7 @@ default-package-overrides: - integration ==0.2.1 - intern ==0.9.2 - interpolate ==0.2.0 - - interpolatedstring-perl6 ==1.0.1 + - interpolatedstring-perl6 ==1.0.2 - interpolatedstring-qq2 ==0.1.0.0 - interpolation ==0.1.1.1 - interpolator ==1.0.0 @@ -1283,7 +1283,7 @@ default-package-overrides: - massiv-test ==0.1.1 - mathexpr ==0.3.0.0 - math-functions ==0.3.3.0 - - matplotlib ==0.7.4 + - matplotlib ==0.7.5 - matrices ==0.5.0 - matrix ==0.3.6.1 - matrix-market-attoparsec ==0.1.0.8 @@ -1517,7 +1517,7 @@ default-package-overrides: - pantry ==0.1.1.2 - parallel ==3.2.2.0 - parallel-io ==0.3.3 - - paripari ==0.6.0.0 + - paripari ==0.6.0.1 - parseargs ==0.2.0.9 - parsec ==3.1.14.0 - parsec-class ==1.0.0.0 @@ -1554,7 +1554,7 @@ default-package-overrides: - percent-format ==0.0.1 - peregrin ==0.3.0 - perfect-hash-generator ==0.2.0.6 - - persist ==0.1.1.3 + - persist ==0.1.1.4 - persistable-record ==0.6.0.4 - persistable-types-HDBC-pg ==0.0.3.5 - persistent ==2.9.2 @@ -1716,7 +1716,7 @@ default-package-overrides: - Ranged-sets ==0.4.0 - range-set-list ==0.1.3.1 - rank1dynamic ==0.4.0 - - rank2classes ==1.3.1 + - rank2classes ==1.3.1.1 - Rasterific ==0.7.4.4 - rasterific-svg ==0.3.3.2 - ratel ==1.0.8 @@ -1733,7 +1733,7 @@ default-package-overrides: - read-editor ==0.1.0.2 - read-env-var ==1.0.0.0 - reanimate ==0.1.8.0 - - reanimate-svg ==0.9.3.0 + - reanimate-svg ==0.9.3.1 - rebase ==1.3.1.1 - record-dot-preprocessor ==0.2 - record-hasfield ==1.0 @@ -1769,8 +1769,6 @@ default-package-overrides: - repa ==3.4.1.4 - repa-algorithms ==3.4.1.3 - repa-io ==3.4.1.1 - - replace-attoparsec ==1.0.2.0 - - replace-megaparsec ==1.1.4.0 - repline ==0.2.1.0 - req ==2.1.0 - req-conduit ==1.0.0 @@ -1781,7 +1779,7 @@ default-package-overrides: - resourcet ==1.2.2 - result ==0.2.6.0 - rethinkdb-client-driver ==0.0.25 - - retry ==0.8.0.2 + - retry ==0.8.1.0 - rev-state ==0.1.2 - rfc1751 ==0.1.2 - rfc5051 ==0.1.0.4 @@ -1883,14 +1881,13 @@ default-package-overrides: - servant-mock ==0.8.5 - servant-multipart ==0.11.4 - servant-pipes ==0.15.1 - - servant-rawm ==0.3.2.0 - servant-ruby ==0.9.0.0 - servant-server ==0.16.2 - servant-static-th ==0.2.2.1 - servant-swagger ==1.1.7.1 - - servant-swagger-ui ==0.3.4.3.22.2 + - servant-swagger-ui ==0.3.4.3.23.11 - servant-swagger-ui-core ==0.3.3 - - servant-swagger-ui-redoc ==0.3.3.1.22.2 + - servant-swagger-ui-redoc ==0.3.3.1.22.3 - servant-tracing ==0.1.0.2 - servant-xml ==1.0.1.4 - servant-yaml ==0.1.0.1 @@ -1905,7 +1902,7 @@ default-package-overrides: - sexpr-parser ==0.1.1.2 - SHA ==1.6.4.4 - shake-language-c ==0.12.0 - - shakespeare ==2.0.21 + - shakespeare ==2.0.22 - shared-memory ==0.2.0.0 - shell-conduit ==4.7.0 - shell-escape ==0.2.0 @@ -2208,7 +2205,7 @@ default-package-overrides: - TypeCompose ==0.9.14 - typed-process ==0.2.6.0 - type-errors ==0.2.0.0 - - type-errors-pretty ==0.0.0.0 + - type-errors-pretty ==0.0.1.0 - type-fun ==0.1.1 - type-hint ==0.1 - type-level-integers ==0.0.1 @@ -2267,7 +2264,7 @@ default-package-overrides: - unordered-containers ==0.2.10.0 - unordered-intmap ==0.1.1 - unsafe ==0.0 - - uri-bytestring ==0.3.2.1 + - uri-bytestring ==0.3.2.2 - uri-bytestring-aeson ==0.1.0.7 - uri-encode ==1.5.0.5 - uri-templater ==0.3.1.0 @@ -2448,7 +2445,7 @@ default-package-overrides: - yesod-recaptcha2 ==0.3.0 - yesod-sitemap ==1.6.0 - yesod-static ==1.6.0.1 - - yesod-test ==1.6.6.2 + - yesod-test ==1.6.7 - yesod-text-markdown ==0.1.10 - yesod-websockets ==0.3.0.2 - yes-precure5-command ==5.5.3 @@ -2584,6 +2581,8 @@ package-maintainers: - shakespeare abbradar: - Agda + roberth: + - arion-compose unsupported-platforms: alsa-mixer: [ x86_64-darwin ] @@ -2972,7 +2971,6 @@ broken-packages: - arguedit - ariadne - arion - - arion-compose - arith-encode - armada - armor @@ -3623,10 +3621,7 @@ broken-packages: - clarifai - CLASE - clash - - clash-ghc - - clash-lib - clash-multisignal - - clash-prelude - Clash-Royale-Hack-Cheats - clash-systemverilog - clash-verilog @@ -4398,7 +4393,6 @@ broken-packages: - ekg-elasticsearch - ekg-influxdb - ekg-log - - ekg-prometheus-adapter - ekg-push - ekg-rrd - elevator @@ -5694,6 +5688,9 @@ broken-packages: - hgen - hgeometric - hgeometry + - hgeometry-combinatorial + - hgeometry-ipe + - hgeometry-svg - hgeos - hgettext - hgis @@ -6943,7 +6940,6 @@ broken-packages: - ls-usb - lscabal - LslPlus - - lsp-test - lsystem - ltext - ltk @@ -8078,7 +8074,6 @@ broken-packages: - projectile - prolog-graph - prolog-graph-lib - - prometheus - prometheus-effect - promise - pronounce @@ -9349,7 +9344,6 @@ broken-packages: - tasty-laws - tasty-lens - tasty-stats - - tasty-tap - Taxonomy - TaxonomyTools - TBC @@ -9755,7 +9749,6 @@ broken-packages: - unity-testresult-parser - unitym-yesod - universe-th - - universum - unix-fcntl - unix-handle - unix-process-conduit @@ -10225,6 +10218,7 @@ broken-packages: - yaya-hedgehog - yaya-unsafe - ycextra + - yeamer - yeller - yeshql-postgresql-simple - yesod-angular diff --git a/nixpkgs/pkgs/development/haskell-modules/configuration-nix.nix b/nixpkgs/pkgs/development/haskell-modules/configuration-nix.nix index ffdd1134e3b..f3692d48a29 100644 --- a/nixpkgs/pkgs/development/haskell-modules/configuration-nix.nix +++ b/nixpkgs/pkgs/development/haskell-modules/configuration-nix.nix @@ -444,6 +444,14 @@ self: super: builtins.intersectAttrs super { [ pkgs.darwin.apple_sdk.frameworks.OpenCL ]; }); + # depends on 'hie' executable + lsp-test = dontCheck super.lsp-test; + + # tests depend on executable + ghcide = overrideCabal super.ghcide (drv: { + preCheck = ''export PATH="$PWD/dist/build/ghcide:$PATH"''; + }); + # GLUT uses `dlopen` to link to freeglut, so we need to set the RUNPATH correctly for # it to find `libglut.so` from the nix store. We do this by patching GLUT.cabal to pkg-config # depend on freeglut, which provides GHC to necessary information to generate a correct RPATH. diff --git a/nixpkgs/pkgs/development/haskell-modules/hackage-packages.nix b/nixpkgs/pkgs/development/haskell-modules/hackage-packages.nix index 1b95375eb85..54e0fad1346 100644 --- a/nixpkgs/pkgs/development/haskell-modules/hackage-packages.nix +++ b/nixpkgs/pkgs/development/haskell-modules/hackage-packages.nix @@ -15866,8 +15866,8 @@ self: { }: mkDerivation { pname = "PyF"; - version = "0.8.1.0"; - sha256 = "10zmw6ircqq53jvkmpqdf7sqf1pgc85m4w2k981jwbhpc3dycgrb"; + version = "0.8.1.1"; + sha256 = "0kwva2ia02z3l0g8vzp9029sv5y4smllc8x9y6qv599f2sy8h31w"; libraryHaskellDepends = [ base containers haskell-src-exts haskell-src-meta megaparsec mtl template-haskell text @@ -16397,6 +16397,27 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "RSA_2_4_1" = callPackage + ({ mkDerivation, base, binary, bytestring, crypto-api + , crypto-pubkey-types, QuickCheck, SHA, tagged, test-framework + , test-framework-quickcheck2 + }: + mkDerivation { + pname = "RSA"; + version = "2.4.1"; + sha256 = "0hchsqrxpfw7mqrqwscfy8ig1w2di6w3nxpzi873w0gibv2diibj"; + libraryHaskellDepends = [ + base binary bytestring crypto-api crypto-pubkey-types SHA + ]; + testHaskellDepends = [ + base binary bytestring crypto-api crypto-pubkey-types QuickCheck + SHA tagged test-framework test-framework-quickcheck2 + ]; + description = "Implementation of RSA, using the padding schemes of PKCS#1 v2.1."; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "RSolve" = callPackage ({ mkDerivation, base, containers, lens, mtl }: mkDerivation { @@ -20933,8 +20954,8 @@ self: { ({ mkDerivation, base, deepseq, random, simple-affine-space }: mkDerivation { pname = "Yampa"; - version = "0.13"; - sha256 = "1rxy8vky3wmqn4awr6v7r40ghk6nr27y11jnzbkj1bdp1948irc0"; + version = "0.13.1"; + sha256 = "0wx47awmijdrw4alcwd4icfip8702h3riq0nhs8sjfjqsihdz4fb"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -23909,10 +23930,8 @@ self: { }: mkDerivation { pname = "aeson-yaml"; - version = "1.0.0.0"; - sha256 = "1d9ijcd9vry7fx5ks0qcq5q6pmncibg3m9k798i4vnl8ahncjqcq"; - revision = "2"; - editedCabalFile = "1zvil7w7a8j4d6dxkpdizs63qm8ylz18nvz6s2hjs5kgnfjd3dxm"; + version = "1.0.2.0"; + sha256 = "1h0pk3nw8y6c2z5rd1shjm8bcdgdvg1v9iqw5hc9b6rffi332n6q"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -24131,8 +24150,8 @@ self: { ({ mkDerivation, base, containers }: mkDerivation { pname = "agum"; - version = "2.7"; - sha256 = "1x1yd2wxff2am7g50nvwmk4slw6p31zl61mlm8rdgcjbds4a2qrk"; + version = "2.8"; + sha256 = "17x0vbmfzrg5r99c8qdw5np63k1gaqr4q9ab93cpga2wk42pwlrl"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ base containers ]; @@ -24698,6 +24717,18 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "alg_0_2_12_0" = callPackage + ({ mkDerivation, base, dual, util }: + mkDerivation { + pname = "alg"; + version = "0.2.12.0"; + sha256 = "0dglxav21z60jsjh6s14v466bg0z3m3wqx1c78kxk9cziz27dm3s"; + libraryHaskellDepends = [ base dual util ]; + description = "Algebraic structures"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "alga" = callPackage ({ mkDerivation, aeson, base, containers, data-default, exceptions , file-embed, filepath, formatting, haskeline, hspec, hxt @@ -30267,14 +30298,14 @@ self: { "arbor-datadog" = callPackage ({ mkDerivation, aeson, auto-update, base, buffer-builder - , bytestring, Cabal, dlist, generic-lens, hspec, lens, mtl, network - , optparse-applicative, random, resourcet, text, time, transformers - , unordered-containers, vector + , bytestring, Cabal, dlist, generic-lens, hspec, hspec-discover + , lens, mtl, network, optparse-applicative, random, resourcet, text + , time, transformers, unordered-containers, vector }: mkDerivation { pname = "arbor-datadog"; - version = "0.1.0.0"; - sha256 = "1w7bg8vj10mplfq39klhivj8hfb2cbgw9vhj1h64gm9v2xw25wlq"; + version = "0.1.0.1"; + sha256 = "1a6kvrm150kc12mi8sb3j89v5q9siwpqnrfsbcfxw4wq5jrwxsbg"; libraryHaskellDepends = [ aeson auto-update base buffer-builder bytestring dlist generic-lens lens mtl network optparse-applicative random resourcet text time @@ -30284,6 +30315,7 @@ self: { base Cabal generic-lens hspec lens network resourcet time transformers ]; + testToolDepends = [ hspec-discover ]; description = "Datadog client for Haskell"; license = stdenv.lib.licenses.mit; }) {}; @@ -30307,12 +30339,13 @@ self: { "arbor-monad-counter" = callPackage ({ mkDerivation, base, containers, generic-lens, hedgehog, hspec - , hw-hspec-hedgehog, lens, mtl, resourcet, stm, transformers + , hspec-discover, hw-hspec-hedgehog, lens, mtl, resourcet, stm + , transformers }: mkDerivation { pname = "arbor-monad-counter"; - version = "2.0.1"; - sha256 = "0fkf71ml6qfsxjx1p7gqf41q8x55hn8qsbb7nmyai0k0vz0s2yqq"; + version = "2.0.2"; + sha256 = "1bfqjbfyr6f11w1g9i45wcnqjpsjs1jwwjij64nq5903m8bsby73"; libraryHaskellDepends = [ base containers generic-lens lens mtl resourcet stm transformers ]; @@ -30320,19 +30353,20 @@ self: { base containers generic-lens hedgehog hspec hw-hspec-hedgehog lens mtl resourcet stm transformers ]; + testToolDepends = [ hspec-discover ]; + description = "Counter library for submitting metrics to a backend such as datadog"; license = stdenv.lib.licenses.mit; }) {}; "arbor-monad-logger" = callPackage ({ mkDerivation, base, bytestring, fast-logger, hedgehog, hspec - , hw-hspec-hedgehog, monad-logger, mtl, optparse-applicative, text + , hspec-discover, hw-hspec-hedgehog, monad-logger, mtl + , optparse-applicative, text }: mkDerivation { pname = "arbor-monad-logger"; - version = "0.1.1.1"; - sha256 = "13lgpr2j2vq2d4mbxl72h86iw5n028m5q0n2hbiz4hgk2yn2f2hs"; - revision = "1"; - editedCabalFile = "1ga2dl721zi4qz5f1qb6vqnjw7fa5x862xz2636jnidl03jh4rwv"; + version = "0.1.1.3"; + sha256 = "1x44kavmgm7nffvnakyrmcxq03vshg15vkk8wcpvkq43dndk9jb2"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -30340,6 +30374,7 @@ self: { ]; executableHaskellDepends = [ base mtl ]; testHaskellDepends = [ base hedgehog hspec hw-hspec-hedgehog ]; + testToolDepends = [ hspec-discover ]; description = "Simple logging library"; license = stdenv.lib.licenses.mit; hydraPlatforms = stdenv.lib.platforms.none; @@ -30348,13 +30383,13 @@ self: { "arbor-monad-metric" = callPackage ({ mkDerivation, arbor-datadog, base, bytestring, containers - , generic-lens, hedgehog, hspec, hw-hspec-hedgehog, lens, mtl - , network, resourcet, stm, text, transformers + , generic-lens, hedgehog, hspec, hspec-discover, hw-hspec-hedgehog + , lens, mtl, network, resourcet, stm, text, transformers }: mkDerivation { pname = "arbor-monad-metric"; - version = "1.2.0"; - sha256 = "0mn6pc5h1rwd3w2cw393skm62yxii21j5f7q9rlpdw7np9xgwfcf"; + version = "1.2.1"; + sha256 = "0lrp60nspihvyjzlj8y7ndwkhp1r09rx85mxkal5alcbgg0nzqf7"; libraryHaskellDepends = [ base containers generic-lens lens mtl resourcet stm text transformers @@ -30364,6 +30399,7 @@ self: { hspec hw-hspec-hedgehog lens mtl network resourcet stm text transformers ]; + testToolDepends = [ hspec-discover ]; description = "Core metric library for publishing metrics"; license = stdenv.lib.licenses.mit; hydraPlatforms = stdenv.lib.platforms.none; @@ -30373,13 +30409,13 @@ self: { "arbor-monad-metric-datadog" = callPackage ({ mkDerivation, arbor-datadog, arbor-monad-metric, base , bytestring, containers, exceptions, fast-logger, generic-lens - , hedgehog, hspec, hw-hspec-hedgehog, lens, monad-logger, mtl - , network, resourcet, stm, text, transformers + , hedgehog, hspec, hspec-discover, hw-hspec-hedgehog, lens + , monad-logger, mtl, network, resourcet, stm, text, transformers }: mkDerivation { pname = "arbor-monad-metric-datadog"; - version = "1.1.0"; - sha256 = "1ly7vn630vm256jg1b6h39vzd7zv658h7j4vfv4jkdrrcl3jmbr0"; + version = "1.1.1"; + sha256 = "1188xwwxx7ykdh7v15gqnh0kv9w1g66wp09jp1fpfyms1qj12nqj"; libraryHaskellDepends = [ arbor-datadog arbor-monad-metric base bytestring containers generic-lens lens mtl network resourcet stm text transformers @@ -30390,6 +30426,7 @@ self: { hw-hspec-hedgehog lens monad-logger mtl network resourcet stm text transformers ]; + testToolDepends = [ hspec-discover ]; description = "Metric library backend for datadog"; license = stdenv.lib.licenses.mit; hydraPlatforms = stdenv.lib.platforms.none; @@ -30402,8 +30439,8 @@ self: { }: mkDerivation { pname = "arbor-postgres"; - version = "0.0.4"; - sha256 = "0z3n2w9a57sl24i7h4yz8204drwkgr54pr2yrjam4xs3dyx6irf4"; + version = "0.0.5"; + sha256 = "0vn3jv60pphjjmhjwn1il3sh886dgdxs47gdiqfrii12hv8rsi21"; libraryHaskellDepends = [ base bytestring generic-lens lens network-uri optparse-applicative postgresql-simple text @@ -30841,8 +30878,7 @@ self: { ]; description = "Run docker-compose with help from Nix/NixOS"; license = stdenv.lib.licenses.asl20; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; + maintainers = with stdenv.lib.maintainers; [ roberth ]; }) {}; "arith-encode" = callPackage @@ -39410,8 +39446,8 @@ self: { }: mkDerivation { pname = "birch-beer"; - version = "0.2.1.0"; - sha256 = "0630cs2sfr5w4yva7a5cz9b5ms4cwqp7a027qhgz634sb4ih5q4k"; + version = "0.2.2.0"; + sha256 = "1175gxj08pn1h58cgppwx3h79wplmycfrpzn46cyw2fpjqakc5gi"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -43904,33 +43940,6 @@ self: { }: mkDerivation { pname = "bv-little"; - version = "1.1.0"; - sha256 = "1cpmwmd6gig0gggbr197cjpp0ykaj30jniqq3r5lsv644wizkg6r"; - libraryHaskellDepends = [ - base deepseq hashable integer-gmp keys mono-traversable - mono-traversable-keys primitive QuickCheck text-show - ]; - testHaskellDepends = [ - base deepseq hashable mono-traversable mono-traversable-keys - QuickCheck smallcheck tasty tasty-hunit tasty-quickcheck - tasty-smallcheck text-show - ]; - benchmarkHaskellDepends = [ - base criterion deepseq hashable mono-traversable QuickCheck - smallcheck - ]; - description = "Efficient little-endian bit vector library"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "bv-little_1_1_1" = callPackage - ({ mkDerivation, base, criterion, deepseq, hashable, integer-gmp - , keys, mono-traversable, mono-traversable-keys, primitive - , QuickCheck, smallcheck, tasty, tasty-hunit, tasty-quickcheck - , tasty-smallcheck, text-show - }: - mkDerivation { - pname = "bv-little"; version = "1.1.1"; sha256 = "034riqlgkccyl5lvc593v3dyszvqy2vqikk80qm6lw30pkmbcdnr"; libraryHaskellDepends = [ @@ -43948,7 +43957,6 @@ self: { ]; description = "Efficient little-endian bit vector library"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "bv-sized" = callPackage @@ -43980,6 +43988,8 @@ self: { pname = "byline"; version = "0.3.2.1"; sha256 = "196c75i7cz7yww3d5pi5p51wd5bf3a8v8nsb2vkiv25ndwrsb20w"; + revision = "1"; + editedCabalFile = "1bkrhwi851qq6jd3wcajypqi3aha66hsr0jq1h8wgqpgm6mg0abl"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -45812,6 +45822,8 @@ self: { pname = "cabal-test-quickcheck"; version = "0.1.8.2"; sha256 = "04fdfxvgp518x7n6d74l92qh67z94pay4wldy8dv4n51zhkgk8bf"; + revision = "1"; + editedCabalFile = "1q0zs98z8wvr0gzy27ff688fpsgwxjypwjsyzs8v2v6gqd49iwri"; libraryHaskellDepends = [ base Cabal QuickCheck ]; description = "QuickCheck for Cabal"; license = stdenv.lib.licenses.mit; @@ -46390,10 +46402,8 @@ self: { }: mkDerivation { pname = "cairo"; - version = "0.13.6.0"; - sha256 = "0c0b0ffxd9dx4c52ny6im420f6wyjmd822fn6vh561d07nr0y08n"; - revision = "1"; - editedCabalFile = "1mldbaa3qp0nf4jyns1cnadyk8gga2w6hl3y2xdbnbbsjm42s4m9"; + version = "0.13.6.1"; + sha256 = "04vjia6pfx5nkrjvxrjj774gsljmhzlyjm8ir88l32ygry2f0rbg"; enableSeparateDataOutput = true; setupHaskellDepends = [ base Cabal gtk2hs-buildtools ]; libraryHaskellDepends = [ @@ -48157,6 +48167,18 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "category_0_2_4_2" = callPackage + ({ mkDerivation, alg, base, dual, transformers }: + mkDerivation { + pname = "category"; + version = "0.2.4.2"; + sha256 = "112cipa7bnjaj8k9grhxzw7ffkhillgf09qsrp62p1aqsvcrlmf8"; + libraryHaskellDepends = [ alg base dual transformers ]; + description = "Categorical types and classes"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "category-extras" = callPackage ({ mkDerivation, adjunctions, bifunctors, categories, comonad , comonad-extras, comonad-transformers, comonads-fd, contravariant @@ -51166,8 +51188,8 @@ self: { }: mkDerivation { pname = "clash-ghc"; - version = "1.0.0"; - sha256 = "1b216mvw4w0yhpr8bi5j6ra2lfhk6imys10r0pidrpfc8wh779lg"; + version = "1.0.1"; + sha256 = "00g5j3f8j9virq32mmbk8qi6nkjcgagw6n9n8kwv9x3xyl4cpjkq"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -51181,38 +51203,37 @@ self: { executableHaskellDepends = [ base ]; description = "CAES Language for Synchronous Hardware"; license = stdenv.lib.licenses.bsd2; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "clash-lib" = callPackage - ({ mkDerivation, aeson, ansi-terminal, ansi-wl-pprint, attoparsec - , base, binary, bytestring, clash-prelude, concurrent-supply - , containers, data-binary-ieee754, deepseq, directory, errors - , exceptions, filepath, ghc, hashable, hint, integer-gmp + ({ mkDerivation, aeson, ansi-terminal, attoparsec, base, binary + , bytestring, clash-prelude, concurrent-supply, containers + , data-binary-ieee754, deepseq, directory, errors, exceptions + , filepath, ghc, ghc-typelits-knownnat, hashable, hint, integer-gmp , interpolate, lens, mtl, parsers, prettyprinter, primitive - , process, reducers, template-haskell, temporary, text, text-show - , time, transformers, trifecta, unordered-containers, vector - , vector-binary-instances + , process, reducers, tasty, tasty-hunit, template-haskell + , temporary, text, text-show, time, transformers, trifecta + , unordered-containers, vector, vector-binary-instances }: mkDerivation { pname = "clash-lib"; - version = "1.0.0"; - sha256 = "1mh6aj6987i80xkqxw1jrqf0jih7jlgsgf13p30mc1graibxbj95"; + version = "1.0.1"; + sha256 = "0icp6lgn5iix8iqcr2dqcjwx7qzx4r61lxqjjdrkfrj87kxaa9v1"; enableSeparateDataOutput = true; libraryHaskellDepends = [ - aeson ansi-terminal ansi-wl-pprint attoparsec base binary - bytestring clash-prelude concurrent-supply containers - data-binary-ieee754 deepseq directory errors exceptions filepath - ghc hashable hint integer-gmp interpolate lens mtl parsers - prettyprinter primitive process reducers template-haskell temporary - text text-show time transformers trifecta unordered-containers - vector vector-binary-instances + aeson ansi-terminal attoparsec base binary bytestring clash-prelude + concurrent-supply containers data-binary-ieee754 deepseq directory + errors exceptions filepath ghc hashable hint integer-gmp + interpolate lens mtl parsers prettyprinter primitive process + reducers template-haskell temporary text text-show time + transformers trifecta unordered-containers vector + vector-binary-instances + ]; + testHaskellDepends = [ + base clash-prelude ghc ghc-typelits-knownnat lens tasty tasty-hunit ]; description = "CAES Language for Synchronous Hardware - As a Library"; license = stdenv.lib.licenses.bsd2; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "clash-multisignal" = callPackage @@ -51243,10 +51264,8 @@ self: { }: mkDerivation { pname = "clash-prelude"; - version = "1.0.0"; - sha256 = "045818jkl8q3b5rp52fx5znb0d9ai3ny8avls0jmgf7aqbmcwr5k"; - revision = "2"; - editedCabalFile = "0l7scl33mrr0i2208yh5dqbz8afmh9crhf9wlvyz67j1l91sfaqc"; + version = "1.0.1"; + sha256 = "0cqsr561cx27kqrdf56af1ggq4d1wadzlmbx4wm14l4z6vc2579p"; libraryHaskellDepends = [ array base bifunctors binary bytestring constraints containers data-binary-ieee754 data-default-class deepseq ghc-prim @@ -51263,8 +51282,6 @@ self: { ]; description = "CAES Language for Synchronous Hardware - Prelude library"; license = stdenv.lib.licenses.bsd2; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "clash-prelude-quickcheck" = callPackage @@ -52991,8 +53008,8 @@ self: { ({ mkDerivation, array, base, containers }: mkDerivation { pname = "cmu"; - version = "1.11"; - sha256 = "1zldm0j4cxhc3zwxz2zn35mbnrqpjagh3v90akvnjz95jy60z171"; + version = "1.12"; + sha256 = "08a5a6w2qq3fbvrjkhk8dzansv7rs81bamyi383wr48qxlx2vlsb"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ array base containers ]; @@ -54041,10 +54058,8 @@ self: { }: mkDerivation { pname = "colour-space"; - version = "0.1.5.0"; - sha256 = "13xmn8l11r06xna575sw6sdhp2rj25q8qd9ljbjpl37fr1qbc8xs"; - revision = "1"; - editedCabalFile = "0vz6yxwllvrcw6dbrpam8rbadzwzk3nab9skzigcnrkqi4y068wz"; + version = "0.1.5.1"; + sha256 = "18aqmppmq3czq38yn3rdblj6klvnax64jbkc524v9lsj45d7si43"; libraryHaskellDepends = [ base call-stack colour constrained-categories JuicyPixels lens linear linearmap-category manifolds semigroups vector-space @@ -57456,6 +57471,19 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "constraint-tuples" = callPackage + ({ mkDerivation, base }: + mkDerivation { + pname = "constraint-tuples"; + version = "0.1"; + sha256 = "0mpbkwq45a4l0bg9sqs0jyadnxz82rxqgrzb8jkn9n779xm2mhpn"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ base ]; + description = "Partially applicable constraint tuples"; + license = stdenv.lib.licenses.bsd3; + }) {}; + "constraints" = callPackage ({ mkDerivation, base, binary, deepseq, ghc-prim, hashable, hspec , hspec-discover, mtl, semigroups, transformers @@ -57779,6 +57807,27 @@ self: { broken = true; }) {}; + "context-free-art" = callPackage + ({ mkDerivation, base, bifunctors, blaze-markup, blaze-svg, extra + , HUnit, random, text, text-show + }: + mkDerivation { + pname = "context-free-art"; + version = "0.2.0.0"; + sha256 = "1ljkyhx2vb3nf5kv3fkj2jin5bdi284vzsqnmbha34dpykg5m873"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + base bifunctors blaze-markup blaze-svg extra random text text-show + ]; + executableHaskellDepends = [ + base bifunctors blaze-markup blaze-svg extra HUnit random text + text-show + ]; + description = "Generate art from context-free grammars"; + license = stdenv.lib.licenses.bsd3; + }) {}; + "context-free-grammar" = callPackage ({ mkDerivation, array, base, containers, control-monad-omega , dlist, HUnit, mtl, pretty, QuickCheck, quickcheck-properties @@ -58734,6 +58783,28 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "core-program_0_2_1_0" = callPackage + ({ mkDerivation, async, base, bytestring, chronologique, core-data + , core-text, directory, exceptions, hashable, hourglass, mtl + , prettyprinter, prettyprinter-ansi-terminal, safe-exceptions, stm + , template-haskell, terminal-size, text, text-short, transformers + , unix + }: + mkDerivation { + pname = "core-program"; + version = "0.2.1.0"; + sha256 = "0x3h09gqnm72j7m44ssnrh2mcpdk8j1hlg6cq61p8s6ddwdn1nxn"; + libraryHaskellDepends = [ + async base bytestring chronologique core-data core-text directory + exceptions hashable hourglass mtl prettyprinter + prettyprinter-ansi-terminal safe-exceptions stm template-haskell + terminal-size text text-short transformers unix + ]; + description = "Opinionated Haskell Interoperability"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "core-text" = callPackage ({ mkDerivation, base, bytestring, deepseq, fingertree, hashable , prettyprinter, prettyprinter-ansi-terminal, template-haskell @@ -60551,27 +60622,6 @@ self: { }: mkDerivation { pname = "crypto-enigma"; - version = "0.1.1.5"; - sha256 = "001kl84ngfwr644v7dyk5shkqfw606bpza8b61bv4p4lqyfk96l2"; - revision = "1"; - editedCabalFile = "0ld72k1zq13vg1xh75qj1zrrrc4w5fzj0jv7idrynybjwmhvq92n"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ base containers split text ]; - executableHaskellDepends = [ - ansi-terminal base containers optparse-applicative split text - ]; - testHaskellDepends = [ base HUnit QuickCheck ]; - description = "An Enigma machine simulator with display"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "crypto-enigma_0_1_1_6" = callPackage - ({ mkDerivation, ansi-terminal, base, containers, HUnit - , optparse-applicative, QuickCheck, split, text - }: - mkDerivation { - pname = "crypto-enigma"; version = "0.1.1.6"; sha256 = "07qxrpwg9r2w2l0d2nrvn703vzsfhchznly93bnr2pfpbwj4iv2z"; isLibrary = true; @@ -60583,7 +60633,6 @@ self: { testHaskellDepends = [ base HUnit QuickCheck ]; description = "An Enigma machine simulator with display"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "crypto-multihash" = callPackage @@ -61157,8 +61206,8 @@ self: { }: mkDerivation { pname = "cryptostore"; - version = "0.2.0.0"; - sha256 = "1j7pk42mivwlcrjyrjdkldidhsv3k5myqq0ld8sksgkbkn1k1z7w"; + version = "0.2.1.0"; + sha256 = "03y9xdjnraa7kyj3c79rqzw9lqw1csww21assxhjkjyid1ps4gn5"; libraryHaskellDepends = [ asn1-encoding asn1-types base basement bytestring cryptonite hourglass memory pem x509 x509-validation @@ -65964,6 +66013,8 @@ self: { pname = "debian"; version = "4.0.0"; sha256 = "1gshvsgbgghskl4csngffdpnf49z27yhap86bwqnkp4asbh553h6"; + revision = "1"; + editedCabalFile = "1p338790mb39j77rf9r82sbwlkv7ax2ipfl5232aa8ygzn2py6nk"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -68127,8 +68178,8 @@ self: { pname = "dhall-text"; version = "1.0.18"; sha256 = "1nwvj67glqyn5yd62ni16wqppv8d3hy7d9aw87p35zkch1vr8vsd"; - revision = "1"; - editedCabalFile = "1dynw76kmca5l43jqrmgmzbdd7hqixiyfpb4pcx4dzr6ghar49s7"; + revision = "2"; + editedCabalFile = "0pzd0rp2vzskwbplkqgq4783793c6zfwm46jsn2y8da452prbyhh"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ @@ -73408,8 +73459,8 @@ self: { ({ mkDerivation, base }: mkDerivation { pname = "dual"; - version = "0.1.0.0"; - sha256 = "02abbnynjxhr2dvqqph3mnzc24v9wc655qkhh70flc168dk0k6hr"; + version = "0.1.0.2"; + sha256 = "08daga1lh267vj2y98z730zlrqxp7f8yqkrnpwbz3gfci7qzd8pa"; libraryHaskellDepends = [ base ]; description = "Dual category"; license = stdenv.lib.licenses.bsd3; @@ -74092,10 +74143,8 @@ self: { }: mkDerivation { pname = "dynamic-plot"; - version = "0.4.0.0"; - sha256 = "1klq7lwvfwwp6z842g50a2xx6cqihp8a6551f935nr2sx9hwdr94"; - revision = "1"; - editedCabalFile = "0x5jxjvwfkax6lxwk28hd2p903q6zmr1nxhyahrwcnqgh6ygywiw"; + version = "0.4.1.0"; + sha256 = "1nly6ra0kd72q42hiaqism94vmyg5hp4ppyd024iiq9pcbgpq7r6"; libraryHaskellDepends = [ base colour colour-space constrained-categories containers data-default deepseq diagrams-cairo diagrams-core diagrams-gtk @@ -75587,8 +75636,6 @@ self: { testHaskellDepends = [ base ]; description = "Easily expose your EKG metrics to Prometheus"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "ekg-push" = callPackage @@ -76686,7 +76733,7 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; - "emd_0_1_8_1" = callPackage + "emd_0_1_9_0" = callPackage ({ mkDerivation, array, base, binary, carray, containers, criterion , data-default-class, deepseq, fft, finite-typelits , ghc-typelits-knownnat, ghc-typelits-natnormalise, HUnit @@ -76695,8 +76742,8 @@ self: { }: mkDerivation { pname = "emd"; - version = "0.1.8.1"; - sha256 = "1dijiqcql8n6an1hl8jvm487jqi7v9ji27lgas3ychc65lw7xwca"; + version = "0.1.9.0"; + sha256 = "029yfa9246vk2i2bmiq7vxmfkc04samfnnsjxaid4xsnmwf03yll"; libraryHaskellDepends = [ array base binary carray containers data-default-class deepseq fft finite-typelits ghc-typelits-knownnat ghc-typelits-natnormalise @@ -78279,7 +78326,7 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; - "esqueleto_3_1_0" = callPackage + "esqueleto_3_1_1" = callPackage ({ mkDerivation, aeson, base, blaze-html, bytestring, conduit , containers, exceptions, hspec, monad-logger, mysql, mysql-simple , persistent, persistent-mysql, persistent-postgresql @@ -78289,8 +78336,8 @@ self: { }: mkDerivation { pname = "esqueleto"; - version = "3.1.0"; - sha256 = "0x3hrh5ymv19l52634q18hsmxjranngc32ig6b2lbd5lz8d6iigy"; + version = "3.1.1"; + sha256 = "0yxa5z615cn35462y0s6bp62j1mi7xkr46xj6mcw98536vsjxpgy"; libraryHaskellDepends = [ aeson base blaze-html bytestring conduit monad-logger persistent resourcet tagged text time transformers unliftio @@ -79327,8 +79374,8 @@ self: { }: mkDerivation { pname = "eventstore"; - version = "1.3.2"; - sha256 = "1a5nxx9w56x1ddnncnc576x9282jlmksqn6gscmavmaj7i9nfplg"; + version = "1.3.3"; + sha256 = "0xf67ywg2f1wj75s82s2lsi2zgvk1xqhl09c4hnl45qzslkbl2xp"; libraryHaskellDepends = [ aeson array base bifunctors bytestring cereal clock connection containers dns dotnet-timespan ekg-core exceptions fast-logger @@ -83758,16 +83805,16 @@ self: { "finitary" = callPackage ({ mkDerivation, base, bitvec, coercible-utils, finite-typelits , ghc-typelits-knownnat, ghc-typelits-natnormalise, hedgehog - , monad-loops, mtl, primitive, template-haskell, typelits-witnesses + , monad-loops, primitive, template-haskell, typelits-witnesses , vector, vector-sized }: mkDerivation { pname = "finitary"; - version = "1.1.0.1"; - sha256 = "13rvwymzsl91zwvc565ysakn8lznwwfnrg0d4vxvlqqrrkbkca1p"; + version = "1.2.0.0"; + sha256 = "1r9knjg3vypzyvlawl5pysvsjv7vf6cadh8d5kqqj6xsk3qdnnp5"; libraryHaskellDepends = [ base bitvec coercible-utils finite-typelits ghc-typelits-knownnat - ghc-typelits-natnormalise mtl primitive template-haskell + ghc-typelits-natnormalise primitive template-haskell typelits-witnesses vector vector-sized ]; testHaskellDepends = [ @@ -89056,6 +89103,8 @@ self: { pname = "futhark"; version = "0.12.1"; sha256 = "00f95mhw6z5hz5jg6mnpgklkm548gp6nr3c49qhr661n8xl1fpyr"; + revision = "2"; + editedCabalFile = "0ic85nm7a76n0cixy991kblhgwk1853d1k5py6jg4k8qz0hvnja4"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -90824,13 +90873,13 @@ self: { license = stdenv.lib.licenses.mit; }) {}; - "generics-mrsop_2_2_0" = callPackage + "generics-mrsop_2_3_0" = callPackage ({ mkDerivation, base, containers, mtl, sop-core, template-haskell }: mkDerivation { pname = "generics-mrsop"; - version = "2.2.0"; - sha256 = "0ni0pvwgpb1a8irgxn20hv2pxn2mx0d40s3raz9v38vyiyb6l05x"; + version = "2.3.0"; + sha256 = "1jcjsvzd1wgdcclw54ic5d674y6kmnyiwjkz1k3fxpv75x3xc3zq"; libraryHaskellDepends = [ base containers mtl sop-core template-haskell ]; @@ -90843,10 +90892,10 @@ self: { ({ mkDerivation, base, generics-mrsop }: mkDerivation { pname = "generics-mrsop-gdiff"; - version = "0.0.1"; - sha256 = "0r5i9m07bl3m0m0vkzf38dddp0bjwg4lpyiyhjysb0s5sw4djrnh"; + version = "0.0.2"; + sha256 = "01fkfk18h8dpl6w3ipx85ay9qj8s56xl7022ids21a0slyc4ml4s"; libraryHaskellDepends = [ base generics-mrsop ]; - description = "Reimplementation of the `gdiff` algorithm for `generics-mrsop`"; + description = "Reimplementation of the gdiff algorithm for generics-mrsop"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; broken = true; @@ -92128,12 +92177,12 @@ self: { }) {}; "ghc-datasize" = callPackage - ({ mkDerivation, base, deepseq, ghc-heap-view }: + ({ mkDerivation, base, deepseq, ghc-heap, ghc-prim }: mkDerivation { pname = "ghc-datasize"; - version = "0.2.1"; - sha256 = "0qsh4m6vif07nd0r5lbwggqrlykmlnspdx1jwzzhz6mk1hcf914d"; - libraryHaskellDepends = [ base deepseq ghc-heap-view ]; + version = "0.2.2"; + sha256 = "19iapv0m2g7d5i88pg9h19r89hafwj5f3h5682sp37irl4mzwkww"; + libraryHaskellDepends = [ base deepseq ghc-heap ghc-prim ]; description = "Determine the size of data structures in GHC's memory"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; @@ -92901,8 +92950,8 @@ self: { }: mkDerivation { pname = "ghc-source-gen"; - version = "0.2.0.1"; - sha256 = "1diz1vrhxx8ppj4jljzfwlrg059kdcz20ba635f7hq4kpp0blbjy"; + version = "0.3.0.0"; + sha256 = "1r9mnwwbpc1bzjcbs5q58wrjnwjrsbcvmcv1khswchcfim12lqqk"; libraryHaskellDepends = [ base ghc ]; testHaskellDepends = [ base ghc ghc-paths QuickCheck tasty tasty-hunit tasty-quickcheck @@ -93178,6 +93227,17 @@ self: { broken = true; }) {}; + "ghcflags" = callPackage + ({ mkDerivation, base, directory, ghc }: + mkDerivation { + pname = "ghcflags"; + version = "1.0.0"; + sha256 = "0dprknv4q4n7f29bdnng033klmq7bh6g5yd8v9y1vzr58x3xdzrv"; + libraryHaskellDepends = [ base directory ghc ]; + description = "Dump the ghc flags during compilation"; + license = stdenv.lib.licenses.bsd2; + }) {}; + "ghci_8_6_5" = callPackage ({ mkDerivation, array, base, binary, bytestring, containers , deepseq, filepath, ghc-boot, ghc-boot-th, ghc-heap @@ -93345,8 +93405,8 @@ self: { }: mkDerivation { pname = "ghcid"; - version = "0.7.5"; - sha256 = "0ics4ibkr9p8pd81hfr7wk1wi10rjbsmwqcln8sda61p9v46pdh4"; + version = "0.7.6"; + sha256 = "0ic4v2l2mlcbgshd2p3834mdzagprc2bqjhnm9z38yvwj4bjgp5r"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -94882,8 +94942,8 @@ self: { }: mkDerivation { pname = "gio"; - version = "0.13.6.0"; - sha256 = "1ck92sy9j3jrwi2w6a0nslz92aczznsz96rdqq8v78rqqislpirm"; + version = "0.13.6.1"; + sha256 = "0lj913029v0h035lri2mkkd8ypc3asb30igj5rrcs6miwhfkmbcf"; enableSeparateDataOutput = true; setupHaskellDepends = [ base Cabal gtk2hs-buildtools ]; libraryHaskellDepends = [ @@ -95029,8 +95089,8 @@ self: { }: mkDerivation { pname = "git-annex"; - version = "7.20191009"; - sha256 = "10ycvjl9b3aa81zdz239ngjbbambfjrzds1a23wdlbjkn12nsg4g"; + version = "7.20191017"; + sha256 = "1ydccqqn8v9jns25r6cyz6nd9w80x9wgw85x1v0z6mhv00l2ifp0"; configureFlags = [ "-fassistant" "-f-benchmark" "-fdbus" "-f-debuglocks" "-fmagicmime" "-f-networkbsd" "-fpairing" "-fproduction" "-fs3" "-ftorrentparser" @@ -96470,8 +96530,8 @@ self: { }: mkDerivation { pname = "glib"; - version = "0.13.7.0"; - sha256 = "06hdn8mprq6xclp8xfr1iqx82i0nhims3dhjblqn26ig5fdidjbw"; + version = "0.13.7.1"; + sha256 = "1h15ir53wc8l5cp09kr71nhk0zn39nm20bmhx2adgp2m68mj5ypp"; setupHaskellDepends = [ base Cabal gtk2hs-buildtools ]; libraryHaskellDepends = [ base bytestring containers text utf8-string @@ -100595,8 +100655,8 @@ self: { }: mkDerivation { pname = "grammatical-parsers"; - version = "0.4.1"; - sha256 = "1hnn3k78hl7kd6dxv45l5lljva1jzv9akpa7jgr22a3mjccf65sr"; + version = "0.4.1.1"; + sha256 = "1gxpkh5frf7yfy0121m4353s4yy6cjpcjpc827skkhgfjl42jqk5"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -101210,20 +101270,24 @@ self: { "graphql-api" = callPackage ({ mkDerivation, aeson, attoparsec, base, containers, criterion , directory, doctest, exceptions, ghc-prim, hspec, protolude - , QuickCheck, raw-strings-qq, scientific, tasty, tasty-hspec, text - , transformers + , QuickCheck, raw-strings-qq, scientific, text, transformers }: mkDerivation { pname = "graphql-api"; - version = "0.3.0"; - sha256 = "1rn47xxyz3wkflz2ji0d496r8w0jcf1a0al14gclflbyd4bzkpwy"; + version = "0.4.0"; + sha256 = "0sy7k3bhks91kb6mx0ws7kwrsd44fmwdyj0l3a2jnq6jk492wl7f"; + isLibrary = true; + isExecutable = true; libraryHaskellDepends = [ aeson attoparsec base containers exceptions ghc-prim protolude QuickCheck scientific text transformers ]; + executableHaskellDepends = [ + aeson attoparsec base exceptions protolude transformers + ]; testHaskellDepends = [ aeson attoparsec base containers directory doctest exceptions hspec - protolude QuickCheck raw-strings-qq tasty tasty-hspec transformers + protolude QuickCheck raw-strings-qq transformers ]; benchmarkHaskellDepends = [ attoparsec base criterion exceptions protolude transformers @@ -102433,8 +102497,8 @@ self: { }: mkDerivation { pname = "gtk"; - version = "0.15.2"; - sha256 = "179h4vpbv15hkl8h4k4jy5amnv1z6zv74qls0m7l2kv6sh36csar"; + version = "0.15.3"; + sha256 = "0lbf79zfpnz273g7ycr8j7im3bsyzn1d5r9mfv6k80nwzr43dw51"; enableSeparateDataOutput = true; setupHaskellDepends = [ base Cabal gtk2hs-buildtools ]; libraryHaskellDepends = [ @@ -102617,8 +102681,8 @@ self: { }: mkDerivation { pname = "gtk2hs-buildtools"; - version = "0.13.5.1"; - sha256 = "0b941qm4rj9xd5g04hzagdhn5i30n542izr15x89giardr8f95iz"; + version = "0.13.5.4"; + sha256 = "1flxsacxwmabzzalhn8558kmj95z01c0lmikrn56nxh7p62nxm25"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -102771,8 +102835,8 @@ self: { }: mkDerivation { pname = "gtk3"; - version = "0.15.1"; - sha256 = "0kya9ag8c7zl8sqx32hmlmz9rpxghvyr2svzcr38lzizfd74bnpq"; + version = "0.15.3"; + sha256 = "10xkgmgncnwdy0f9f1bkcw68msp575zcwkncw9d2nqpa5cdh2qx7"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -105543,8 +105607,8 @@ self: { }: mkDerivation { pname = "hakyll-filestore"; - version = "0.1.6"; - sha256 = "15w52lh2z68qi9f1xgqvwgnzix1ryspbnrd9vrmllcdp9g1kci62"; + version = "0.1.7"; + sha256 = "1xwx58dpwzvl4nk92k357bwxl88wvngkicj4d266gmxmq6j4pg52"; libraryHaskellDepends = [ base filestore hakyll time time-locale-compat ]; @@ -109120,7 +109184,7 @@ self: { license = stdenv.lib.licenses.mit; }) {}; - "haskell-lsp_0_16_0_0" = callPackage + "haskell-lsp_0_17_0_0" = callPackage ({ mkDerivation, aeson, async, attoparsec, base, bytestring , containers, data-default, directory, filepath, hashable , haskell-lsp-types, hslogger, hspec, hspec-discover, lens, mtl @@ -109129,8 +109193,8 @@ self: { }: mkDerivation { pname = "haskell-lsp"; - version = "0.16.0.0"; - sha256 = "1s04lfnb3c0g9bkwp4j7j59yw8ypps63dq27ayybynrfci4bpj95"; + version = "0.17.0.0"; + sha256 = "17c95ndm6jadab04q707pmv6x9cwshk463c8gc9ai0m7763win7x"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -109189,15 +109253,15 @@ self: { license = stdenv.lib.licenses.mit; }) {}; - "haskell-lsp-types_0_16_0_0" = callPackage + "haskell-lsp-types_0_17_0_0" = callPackage ({ mkDerivation, aeson, base, bytestring, data-default, deepseq , filepath, hashable, lens, network-uri, scientific, text , unordered-containers }: mkDerivation { pname = "haskell-lsp-types"; - version = "0.16.0.0"; - sha256 = "14wlv54ydbddpw6cwgykcas3rb55w7m78q0s1wdbi594wg1bscqg"; + version = "0.17.0.0"; + sha256 = "03i3s7f82imv4ysclhjv07mcs0dl3m5nmjxmfa5wafircai4yi3p"; libraryHaskellDepends = [ aeson base bytestring data-default deepseq filepath hashable lens network-uri scientific text unordered-containers @@ -111110,32 +111174,6 @@ self: { }: mkDerivation { pname = "haskoin-core"; - version = "0.9.1"; - sha256 = "1jpq7dz6gfpgvjgrss4cwgrjmicw9yyfrsfnfq980cjw7h0inc41"; - libraryHaskellDepends = [ - aeson array base base16-bytestring bytestring cereal conduit - containers cryptonite entropy hashable memory mtl murmur3 network - QuickCheck scientific secp256k1-haskell split string-conversions - text time transformers unordered-containers vector - ]; - testHaskellDepends = [ - aeson base bytestring cereal containers hspec HUnit mtl QuickCheck - safe split string-conversions text unordered-containers vector - ]; - testToolDepends = [ hspec-discover ]; - description = "Bitcoin & Bitcoin Cash library for Haskell"; - license = stdenv.lib.licenses.publicDomain; - }) {}; - - "haskoin-core_0_9_2" = callPackage - ({ mkDerivation, aeson, array, base, base16-bytestring, bytestring - , cereal, conduit, containers, cryptonite, entropy, hashable, hspec - , hspec-discover, HUnit, memory, mtl, murmur3, network, QuickCheck - , safe, scientific, secp256k1-haskell, split, string-conversions - , text, time, transformers, unordered-containers, vector - }: - mkDerivation { - pname = "haskoin-core"; version = "0.9.2"; sha256 = "18iscx2pzc80p1d6b3lrm5hvv877lsk7ay4iyknd091v8dw9lgm9"; libraryHaskellDepends = [ @@ -111151,7 +111189,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Bitcoin & Bitcoin Cash library for Haskell"; license = stdenv.lib.licenses.publicDomain; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "haskoin-crypto" = callPackage @@ -116433,42 +116470,115 @@ self: { }) {}; "hgeometry" = callPackage - ({ mkDerivation, aeson, approximate-equality, array, base - , bifunctors, bytestring, colour, containers, contravariant - , criterion, data-clist, deepseq, deepseq-generics, directory - , dlist, doctest, filepath, fingertree, fixed-vector, hexpat, hspec - , hspec-discover, lens, linear, mtl, optparse-applicative, parsec - , profunctors, QuickCheck, quickcheck-instances, random, reflection - , semigroupoids, semigroups, singletons, template-haskell, text - , vector, vinyl, yaml + ({ mkDerivation, aeson, base, bifunctors, bytestring, containers + , data-clist, deepseq, dlist, doctest, doctest-discover, fingertree + , fixed-vector, hgeometry-combinatorial, lens, linear, MonadRandom + , mtl, QuickCheck, quickcheck-instances, reflection, semigroupoids + , semigroups, singletons, template-haskell, text, vector + , vector-builder, vinyl, yaml }: mkDerivation { pname = "hgeometry"; - version = "0.8.0.0"; - sha256 = "0hypd5936kssw435lcvqj9d7whdzfdfbhvi5hhbi90k5x89xfx6f"; - revision = "1"; - editedCabalFile = "1hln65kfw2ji43pkwipyg12i0lq9ly6p3hv2xd7vzp4pzkcpy3zz"; - isLibrary = true; - isExecutable = true; + version = "0.9.0.0"; + sha256 = "1s9hmknrqdsrfda5l8qjs85qhq6lm8vfkd54dnkbg67xk42z0y1m"; enableSeparateDataOutput = true; libraryHaskellDepends = [ - aeson base bifunctors bytestring colour containers contravariant - data-clist deepseq dlist fingertree fixed-vector hexpat lens linear - mtl parsec profunctors QuickCheck quickcheck-instances random - reflection semigroupoids semigroups singletons template-haskell - text vector vinyl yaml + aeson base bifunctors bytestring containers data-clist deepseq + dlist fingertree fixed-vector hgeometry-combinatorial lens linear + MonadRandom mtl QuickCheck quickcheck-instances reflection + semigroupoids semigroups singletons template-haskell text vector + vector-builder vinyl yaml + ]; + testHaskellDepends = [ base doctest doctest-discover QuickCheck ]; + description = "Geometric Algorithms, Data structures, and Data types"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + broken = true; + }) {}; + + "hgeometry-combinatorial" = callPackage + ({ mkDerivation, aeson, approximate-equality, base, bifunctors + , bytestring, containers, contravariant, data-clist, deepseq + , directory, dlist, doctest, filepath, fingertree, hspec + , hspec-discover, lens, linear, MonadRandom, mtl, QuickCheck + , quickcheck-instances, random, reflection, semigroupoids + , semigroups, singletons, template-haskell, text, vector + , vector-builder, vinyl, yaml + }: + mkDerivation { + pname = "hgeometry-combinatorial"; + version = "0.9.0.0"; + sha256 = "0c9byfg6x1ch1812s6kf9w1vkrhzffqw6asllhln95f6cvsz58z0"; + enableSeparateDataOutput = true; + libraryHaskellDepends = [ + aeson base bifunctors bytestring containers contravariant + data-clist deepseq dlist fingertree lens MonadRandom mtl QuickCheck + quickcheck-instances reflection semigroupoids semigroups singletons + template-haskell text vector vector-builder vinyl yaml ]; testHaskellDepends = [ - approximate-equality array base bytestring colour containers - data-clist directory doctest filepath hspec lens linear QuickCheck + approximate-equality base bytestring containers data-clist + directory doctest filepath hspec lens linear MonadRandom QuickCheck quickcheck-instances random semigroups singletons vector vinyl yaml ]; testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ - base bytestring containers criterion deepseq deepseq-generics - fixed-vector lens linear optparse-applicative QuickCheck semigroups + description = "Data structures, and Data types"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + broken = true; + }) {}; + + "hgeometry-ipe" = callPackage + ({ mkDerivation, aeson, approximate-equality, base, bifunctors + , bytestring, colour, containers, data-clist, deepseq, directory + , dlist, filepath, fingertree, fixed-vector, hexpat, hgeometry + , hgeometry-combinatorial, hspec, hspec-discover, lens, linear + , MonadRandom, mtl, parsec, QuickCheck, quickcheck-instances + , random, reflection, semigroupoids, semigroups, singletons + , template-haskell, text, vector, vinyl, yaml + }: + mkDerivation { + pname = "hgeometry-ipe"; + version = "0.9.0.0"; + sha256 = "1lj5yvxlgn8q1z2ad4ais7cksflsbyag9zdz6vii9r680swr0ib7"; + enableSeparateDataOutput = true; + libraryHaskellDepends = [ + aeson base bifunctors bytestring colour containers data-clist + deepseq dlist fingertree fixed-vector hexpat hgeometry + hgeometry-combinatorial lens linear MonadRandom mtl parsec + QuickCheck quickcheck-instances random reflection semigroupoids + semigroups singletons template-haskell text vector vinyl yaml ]; - description = "Geometric Algorithms, Data structures, and Data types"; + testHaskellDepends = [ + approximate-equality base bytestring colour containers data-clist + directory filepath hgeometry hgeometry-combinatorial hspec lens + linear MonadRandom QuickCheck quickcheck-instances random + semigroups singletons vector vinyl yaml + ]; + testToolDepends = [ hspec-discover ]; + description = "Reading and Writing ipe7 files"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + broken = true; + }) {}; + + "hgeometry-svg" = callPackage + ({ mkDerivation, base, blaze-markup, blaze-svg, bytestring, deepseq + , doctest, hgeometry, hgeometry-combinatorial, hgeometry-ipe, lens + , QuickCheck, semigroupoids, semigroups, singletons + , template-haskell, text, vinyl + }: + mkDerivation { + pname = "hgeometry-svg"; + version = "0.9.0.0"; + sha256 = "1aa1qgmq1qvbj8c06nmlvdk3yy9iflas7mz7icfbn9m77zgj1qvs"; + libraryHaskellDepends = [ + base blaze-markup blaze-svg bytestring deepseq hgeometry + hgeometry-combinatorial hgeometry-ipe lens QuickCheck semigroupoids + semigroups singletons template-haskell text vinyl + ]; + testHaskellDepends = [ base doctest ]; + description = "Writing geometric primitives from HGeometry as SVG Files"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; broken = true; @@ -118232,27 +118342,6 @@ self: { }) {}; "hjsmin" = callPackage - ({ mkDerivation, base, blaze-builder, bytestring, containers - , language-javascript, optparse-applicative, text - }: - mkDerivation { - pname = "hjsmin"; - version = "0.2.0.2"; - sha256 = "112lj2jgbcfdnr0hxc2cfxpxzxy9qyid04pbk0wwcqk977957hdy"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ - base blaze-builder bytestring containers language-javascript text - ]; - executableHaskellDepends = [ - base blaze-builder bytestring containers language-javascript - optparse-applicative text - ]; - description = "Haskell implementation of a javascript minifier"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hjsmin_0_2_0_3" = callPackage ({ mkDerivation, base, bytestring, directory, extra, filepath , language-javascript, optparse-applicative, process, text, unix }: @@ -118273,7 +118362,6 @@ self: { ]; description = "Haskell implementation of a javascript minifier"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hjson" = callPackage @@ -118701,8 +118789,8 @@ self: { }: mkDerivation { pname = "hledger-flow"; - version = "0.12.3.1"; - sha256 = "1a7idnrqjn7pcvskm1yd9ynlvsza9ql3qfd8d8ycb6z8gpc30fq0"; + version = "0.12.4.0"; + sha256 = "1j5hhh06xrdzdpzvvk8gg2mzhsmyk9xvxbpvjy0sg3mmpa27vr6f"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -119756,6 +119844,27 @@ self: { broken = true; }) {inherit (pkgs) ncurses;}; + "hmp3-ng" = callPackage + ({ mkDerivation, array, base, binary, bytestring, containers + , directory, hscurses, mersenne-random, mtl, ncurses, old-time + , pcre-light, process, unix, utf8-string, zlib + }: + mkDerivation { + pname = "hmp3-ng"; + version = "2.4.2"; + sha256 = "0cpazssifginilyr7b7ysipkjfylpqgmk4fyl03y0c5ajsjds21r"; + isLibrary = false; + isExecutable = true; + executableHaskellDepends = [ + array base binary bytestring containers directory hscurses + mersenne-random mtl old-time pcre-light process unix utf8-string + zlib + ]; + executableSystemDepends = [ ncurses ]; + description = "A 2019 fork of an ncurses mp3 player written in Haskell"; + license = "GPL"; + }) {inherit (pkgs) ncurses;}; + "hmpfr" = callPackage ({ mkDerivation, base, integer-gmp, mpfr }: mkDerivation { @@ -120117,6 +120226,27 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "hoauth2_1_9_0" = callPackage + ({ mkDerivation, aeson, base, binary, bytestring, exceptions + , http-conduit, http-types, microlens, text, unordered-containers + , uri-bytestring, uri-bytestring-aeson + }: + mkDerivation { + pname = "hoauth2"; + version = "1.9.0"; + sha256 = "1br1g6xp0s73aj8nsx3rjdpji3lvp19b4xaxhn87fqbnnhczg39z"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson base binary bytestring exceptions http-conduit http-types + microlens text unordered-containers uri-bytestring + uri-bytestring-aeson + ]; + description = "Haskell OAuth2 authentication client"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "hob" = callPackage ({ mkDerivation, base, bytestring, containers, directory, filepath , glib, gtk-largeTreeStore, gtk3, gtksourceview3, hspec, mtl, pango @@ -122364,19 +122494,20 @@ self: { "hprox" = callPackage ({ mkDerivation, async, base, base64-bytestring, binary, bytestring , case-insensitive, conduit, conduit-extra, http-client - , http-reverse-proxy, http-types, optparse-applicative, tls, unix - , wai, wai-extra, warp, warp-tls + , http-client-tls, http-reverse-proxy, http-types + , optparse-applicative, tls, unix, wai, wai-extra, warp, warp-tls }: mkDerivation { pname = "hprox"; - version = "0.1.0.2"; - sha256 = "0ajyv9zmi6nr8add7admfxavd691g3rvyy8ll6gra6hhpn0lwp0m"; + version = "0.1.1"; + sha256 = "0r8sbi4g49r95pxmm81q291nlixj4wi4m6qrq5wkjp8yrrm2s9gb"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ async base base64-bytestring binary bytestring case-insensitive - conduit conduit-extra http-client http-reverse-proxy http-types - optparse-applicative tls unix wai wai-extra warp warp-tls + conduit conduit-extra http-client http-client-tls + http-reverse-proxy http-types optparse-applicative tls unix wai + wai-extra warp warp-tls ]; description = "a lightweight HTTP proxy server, and more"; license = stdenv.lib.licenses.asl20; @@ -123499,20 +123630,19 @@ self: { }) {}; "hs2ats" = callPackage - ({ mkDerivation, ansi-wl-pprint, base, casing, composition-prelude - , cpphs, criterion, deepseq, haskell-src-exts, hspec - , hspec-dirstream, language-ats, microlens, optparse-generic - , system-filepath + ({ mkDerivation, ansi-wl-pprint, base, casing, cpphs, criterion + , deepseq, haskell-src-exts, hspec, hspec-dirstream, language-ats + , microlens, optparse-generic, system-filepath }: mkDerivation { pname = "hs2ats"; - version = "0.5.0.0"; - sha256 = "0ga90mkz11iis5knd51dqpqd4qyj6fwl15nbdbwzlynpk0wsdsga"; + version = "0.5.0.1"; + sha256 = "01xjk00rijrhixpmpl3x6m3vsvm1zyizrp3iigz13w80ivj1hpdk"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ - ansi-wl-pprint base casing composition-prelude cpphs deepseq - haskell-src-exts language-ats microlens + ansi-wl-pprint base casing cpphs deepseq haskell-src-exts + language-ats microlens ]; executableHaskellDepends = [ base optparse-generic ]; testHaskellDepends = [ @@ -125016,16 +125146,19 @@ self: { }) {}; "hsinspect" = callPackage - ({ mkDerivation, base, directory, ghc, ghc-boot, ghc-paths, time }: + ({ mkDerivation, base, containers, directory, ghc, ghc-boot, time + }: mkDerivation { pname = "hsinspect"; - version = "0.0.3"; - sha256 = "11jn8knnh859wvzfqljkhlsaqsrm20m4ryf3ncg7rhs6jcm3vknr"; + version = "0.0.6"; + sha256 = "0q6yk5cy6bbmfsg0ygmjm23bfwlqby2fffw83bimyk97byzckna4"; isLibrary = true; isExecutable = true; - libraryHaskellDepends = [ base directory ghc ghc-boot time ]; + libraryHaskellDepends = [ + base containers directory ghc ghc-boot time + ]; executableHaskellDepends = [ - base directory ghc ghc-boot ghc-paths time + base containers directory ghc ghc-boot time ]; description = "Inspect Haskell source files"; license = stdenv.lib.licenses.gpl3Plus; @@ -125862,6 +125995,23 @@ self: { license = stdenv.lib.licenses.mit; }) {}; + "hspec-expectations-pretty-diff_0_7_2_5" = callPackage + ({ mkDerivation, aeson, ansi-terminal, base, Diff, hscolour, hspec + , HUnit, nicify-lib, text + }: + mkDerivation { + pname = "hspec-expectations-pretty-diff"; + version = "0.7.2.5"; + sha256 = "0432mca3k7rpdyykw9cz402zax6h42d1vsgbi0dsy1qwhlg2aycl"; + libraryHaskellDepends = [ + ansi-terminal base Diff hscolour HUnit nicify-lib text + ]; + testHaskellDepends = [ aeson base hspec HUnit text ]; + description = "Catchy combinators for HUnit"; + license = stdenv.lib.licenses.mit; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "hspec-experimental" = callPackage ({ mkDerivation, base, hspec, hspec-meta, HUnit, QuickCheck }: mkDerivation { @@ -128305,34 +128455,6 @@ self: { }: mkDerivation { pname = "http-conduit"; - version = "2.3.7.2"; - sha256 = "16w77zm1pacs2qgz8sr0g935jjd3gmkhrw0gm9pijalaqbliffn9"; - libraryHaskellDepends = [ - aeson attoparsec base bytestring conduit conduit-extra http-client - http-client-tls http-types mtl resourcet transformers unliftio-core - ]; - testHaskellDepends = [ - aeson base blaze-builder bytestring case-insensitive conduit - conduit-extra connection cookie data-default-class hspec - http-client http-types HUnit network resourcet streaming-commons - temporary text time transformers unliftio utf8-string wai - wai-conduit warp warp-tls - ]; - doCheck = false; - description = "HTTP client package with conduit interface and HTTPS support"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "http-conduit_2_3_7_3" = callPackage - ({ mkDerivation, aeson, attoparsec, base, blaze-builder, bytestring - , case-insensitive, conduit, conduit-extra, connection, cookie - , data-default-class, hspec, http-client, http-client-tls - , http-types, HUnit, mtl, network, resourcet, streaming-commons - , temporary, text, time, transformers, unliftio, unliftio-core - , utf8-string, wai, wai-conduit, warp, warp-tls - }: - mkDerivation { - pname = "http-conduit"; version = "2.3.7.3"; sha256 = "00rshi1y0h8y4rvsnnad0bppxgpvp40sk7lw1kxmdwy8pi8xrvbs"; libraryHaskellDepends = [ @@ -128349,7 +128471,6 @@ self: { doCheck = false; description = "HTTP client package with conduit interface and HTTPS support"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "http-conduit-browser" = callPackage @@ -130008,30 +130129,6 @@ self: { "hw-bits" = callPackage ({ mkDerivation, base, bytestring, criterion, hedgehog, hspec , hspec-discover, hw-hspec-hedgehog, hw-int, hw-prim - , hw-string-parse, QuickCheck, vector - }: - mkDerivation { - pname = "hw-bits"; - version = "0.7.0.7"; - sha256 = "11j43d8fxi3s6mfhnx2kcj03gpxj7gxmi00vbbnxnwby66vvbbib"; - libraryHaskellDepends = [ - base bytestring hw-int hw-prim hw-string-parse vector - ]; - testHaskellDepends = [ - base bytestring hedgehog hspec hw-hspec-hedgehog hw-prim QuickCheck - vector - ]; - testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ base criterion vector ]; - description = "Bit manipulation"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-bits_0_7_0_8" = callPackage - ({ mkDerivation, base, bytestring, criterion, hedgehog, hspec - , hspec-discover, hw-hspec-hedgehog, hw-int, hw-prim , hw-string-parse, vector }: mkDerivation { @@ -130077,27 +130174,6 @@ self: { "hw-conduit" = callPackage ({ mkDerivation, array, base, bytestring, conduit - , conduit-combinators, criterion, hspec, mmap, time, transformers - , unliftio-core, vector, word8 - }: - mkDerivation { - pname = "hw-conduit"; - version = "0.2.0.5"; - sha256 = "00fpinpafvrdkmk6gksqd9v6f3lzrqcg79yja0h55gw7qjz5lz84"; - libraryHaskellDepends = [ - array base bytestring conduit conduit-combinators time transformers - unliftio-core word8 - ]; - testHaskellDepends = [ base bytestring conduit hspec ]; - benchmarkHaskellDepends = [ - base bytestring conduit criterion mmap vector - ]; - description = "Conduits for tokenizing streams"; - license = stdenv.lib.licenses.mit; - }) {}; - - "hw-conduit_0_2_0_6" = callPackage - ({ mkDerivation, array, base, bytestring, conduit , conduit-combinators, criterion, hspec, hspec-discover, mmap, time , transformers, unliftio-core, vector, word8 }: @@ -130116,7 +130192,6 @@ self: { ]; description = "Conduits for tokenizing streams"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-conduit-merges" = callPackage @@ -130343,34 +130418,6 @@ self: { }: mkDerivation { pname = "hw-excess"; - version = "0.2.2.0"; - sha256 = "07d6q5m98z6r7p4hhzzm8ihn9sbd05hhf40a0hcq3ixxrdz7yyrb"; - revision = "1"; - editedCabalFile = "13k4fm6cyqmsh3wbqh76jn8r0rw9z1nw65zb061smgkk1v9ii3j7"; - libraryHaskellDepends = [ - base hw-bits hw-prim hw-rankselect-base safe vector - ]; - testHaskellDepends = [ - base hedgehog hspec hw-bits hw-hspec-hedgehog hw-prim QuickCheck - vector - ]; - testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ - base bytestring criterion hw-prim vector - ]; - description = "Excess"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-excess_0_2_2_1" = callPackage - ({ mkDerivation, base, bytestring, criterion, hedgehog, hspec - , hspec-discover, hw-bits, hw-hspec-hedgehog, hw-prim - , hw-rankselect-base, QuickCheck, safe, vector - }: - mkDerivation { - pname = "hw-excess"; version = "0.2.2.1"; sha256 = "1n4qgnwf61gdwai163sqkc4lzhni65f94r5hcmq0im502596iy9b"; libraryHaskellDepends = [ @@ -130396,23 +130443,6 @@ self: { }: mkDerivation { pname = "hw-fingertree"; - version = "0.1.1.0"; - sha256 = "096lj6bhyk3pyal3jnif8cm6yprir1kpr5cvjl576kbfhyxdnhmy"; - libraryHaskellDepends = [ base deepseq hw-prim ]; - testHaskellDepends = [ - base deepseq hedgehog hspec hw-hspec-hedgehog - ]; - testToolDepends = [ hspec-discover ]; - description = "Generic finger-tree structure, with example instances"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-fingertree_0_1_1_1" = callPackage - ({ mkDerivation, base, deepseq, hedgehog, hspec, hspec-discover - , hw-hspec-hedgehog, hw-prim - }: - mkDerivation { - pname = "hw-fingertree"; version = "0.1.1.1"; sha256 = "01ghnnnbbrdy9s84rcw37v3yvlrw5awgdmcrzh0xd5dxpw557psf"; libraryHaskellDepends = [ base deepseq hw-prim ]; @@ -130422,7 +130452,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Generic finger-tree structure, with example instances"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-fingertree-strict" = callPackage @@ -130432,25 +130461,6 @@ self: { }: mkDerivation { pname = "hw-fingertree-strict"; - version = "0.1.1.2"; - sha256 = "0zgm5x67kmxm1l1c5605rcs3g5kyfcqz5d8lj6b055ysqqcpr14l"; - libraryHaskellDepends = [ base deepseq ]; - testHaskellDepends = [ - base hedgehog hspec HUnit hw-hspec-hedgehog QuickCheck - test-framework test-framework-hunit test-framework-quickcheck2 - ]; - testToolDepends = [ hspec-discover ]; - description = "Generic strict finger-tree structure"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-fingertree-strict_0_1_1_3" = callPackage - ({ mkDerivation, base, deepseq, hedgehog, hspec, hspec-discover - , HUnit, hw-hspec-hedgehog, QuickCheck, test-framework - , test-framework-hunit, test-framework-quickcheck2 - }: - mkDerivation { - pname = "hw-fingertree-strict"; version = "0.1.1.3"; sha256 = "1fy492nl10a7p9a0b0jrqj1yiar8wir2g3qms2mmd814brbfkm80"; libraryHaskellDepends = [ base deepseq ]; @@ -130461,34 +130471,18 @@ self: { testToolDepends = [ hspec-discover ]; description = "Generic strict finger-tree structure"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-hedgehog" = callPackage ({ mkDerivation, base, hedgehog, vector }: mkDerivation { pname = "hw-hedgehog"; - version = "0.1.0.3"; - sha256 = "0cck3kxxc7fxfqji9jv15vc9v1zpqz7rzacxfcjc0i6s1ll9vbv2"; - revision = "1"; - editedCabalFile = "1wvjv13pznqxzhix7ikjx2gpqc3ppn7z20yl7l6z80yslalh7pm2"; - libraryHaskellDepends = [ base hedgehog vector ]; - testHaskellDepends = [ base ]; - description = "Extra hedgehog functionality"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-hedgehog_0_1_0_4" = callPackage - ({ mkDerivation, base, hedgehog, vector }: - mkDerivation { - pname = "hw-hedgehog"; - version = "0.1.0.4"; - sha256 = "0aps3h6ajrkl9nbrf66rxigya17jf7d0fs5yn7bbzfwqknik12n8"; + version = "0.1.0.5"; + sha256 = "1wnfgmybccznrm2i6rrkg87mwxqng9y115wsbf4r40y6pica7kbi"; libraryHaskellDepends = [ base hedgehog vector ]; testHaskellDepends = [ base ]; description = "Extra hedgehog functionality"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-hspec-hedgehog" = callPackage @@ -130497,25 +130491,6 @@ self: { }: mkDerivation { pname = "hw-hspec-hedgehog"; - version = "0.1.0.8"; - sha256 = "0c54mhzbmjfjvy5lyvr6xffrncqmbbr10lran2x9czbkhhbikrss"; - revision = "1"; - editedCabalFile = "0msy1a646w5m0z5670b7xgc1apzan3myaqrq6i6khsayzk5z597j"; - libraryHaskellDepends = [ - base call-stack hedgehog hspec HUnit transformers - ]; - testHaskellDepends = [ base call-stack hedgehog hspec HUnit ]; - testToolDepends = [ hspec-discover ]; - description = "Interoperability between hspec and hedgehog"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-hspec-hedgehog_0_1_0_9" = callPackage - ({ mkDerivation, base, call-stack, hedgehog, hspec, hspec-discover - , HUnit, transformers - }: - mkDerivation { - pname = "hw-hspec-hedgehog"; version = "0.1.0.9"; sha256 = "1rfcz7fq7gp8xxlax8l1vfr88h9sh50ghs1iihy9jqv135d1dbky"; libraryHaskellDepends = [ @@ -130525,21 +130500,9 @@ self: { testToolDepends = [ hspec-discover ]; description = "Interoperability between hspec and hedgehog"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-int" = callPackage - ({ mkDerivation, base }: - mkDerivation { - pname = "hw-int"; - version = "0.0.0.3"; - sha256 = "0gsaimfx7gg39yfj2n6mlszri5x06f14b8f9cs12klrq2q8sadl3"; - libraryHaskellDepends = [ base ]; - description = "Integers"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-int_0_0_0_4" = callPackage ({ mkDerivation, base, hedgehog, hspec, hspec-discover, hw-hedgehog , hw-hspec-hedgehog }: @@ -130554,7 +130517,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Additional facilities for Integers"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-ip" = callPackage @@ -130564,37 +130526,6 @@ self: { }: mkDerivation { pname = "hw-ip"; - version = "2.3.4.1"; - sha256 = "023wv7dvpyw2nnlrdqhp3nqrbbh9af074fz12y8510br2gfdyamd"; - revision = "1"; - editedCabalFile = "0djvl3qvy4km5qxg5pakgck8vr5hh0md8h9h4sgsvjafcqjrza3x"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ - appar base containers generic-lens hw-bits iproute text - ]; - executableHaskellDepends = [ - appar base binary bytestring generic-lens lens optparse-applicative - text - ]; - testHaskellDepends = [ - appar base generic-lens hedgehog hspec hw-bits hw-hspec-hedgehog - text - ]; - testToolDepends = [ hspec-discover ]; - description = "Library for manipulating IP addresses and CIDR blocks"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-ip_2_3_4_2" = callPackage - ({ mkDerivation, appar, base, binary, bytestring, containers - , generic-lens, hedgehog, hspec, hspec-discover, hw-bits - , hw-hspec-hedgehog, iproute, lens, optparse-applicative, text - }: - mkDerivation { - pname = "hw-ip"; version = "2.3.4.2"; sha256 = "020pwy89hx4jcmq5vwd0gs4vbb2llkvvzaqk72s06w51hqrfpxb9"; isLibrary = true; @@ -130728,26 +130659,6 @@ self: { }: mkDerivation { pname = "hw-json-simd"; - version = "0.1.0.3"; - sha256 = "0cgi7q0cx3zx56wq9l115vbhwc6yjbdrmiyz6z8zcnlhgq6cfgjl"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ base bytestring hw-prim lens vector ]; - libraryToolDepends = [ c2hs ]; - executableHaskellDepends = [ - base bytestring hw-prim lens optparse-applicative vector - ]; - testHaskellDepends = [ base bytestring hw-prim lens vector ]; - description = "SIMD-based JSON semi-indexer"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-json-simd_0_1_0_4" = callPackage - ({ mkDerivation, base, bytestring, c2hs, hw-prim, lens - , optparse-applicative, vector - }: - mkDerivation { - pname = "hw-json-simd"; version = "0.1.0.4"; sha256 = "1qpk7vzya28y56qlb2fmx3ic39n9x2z8dh932rn0fp2d8n4dw8sa"; isLibrary = true; @@ -130760,7 +130671,6 @@ self: { testHaskellDepends = [ base bytestring hw-prim lens vector ]; description = "SIMD-based JSON semi-indexer"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-json-simple-cursor" = callPackage @@ -130914,30 +130824,6 @@ self: { }: mkDerivation { pname = "hw-mquery"; - version = "0.2.0.1"; - sha256 = "04jkhnljyirbjg1b693bacfnaa3i854rg1dgy3mifr7sbmk0xgnn"; - revision = "1"; - editedCabalFile = "0n6zicz4cdr9z7lzlagj8gamj9gnv3nhvjz7srw4wg1cnagimi0s"; - isLibrary = true; - isExecutable = true; - libraryHaskellDepends = [ - ansi-wl-pprint base dlist lens semigroups - ]; - executableHaskellDepends = [ base ]; - testHaskellDepends = [ - base dlist hedgehog hspec hw-hspec-hedgehog lens - ]; - testToolDepends = [ hspec-discover ]; - description = "Monadic query DSL"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-mquery_0_2_0_2" = callPackage - ({ mkDerivation, ansi-wl-pprint, base, dlist, hedgehog, hspec - , hspec-discover, hw-hspec-hedgehog, lens, semigroups - }: - mkDerivation { - pname = "hw-mquery"; version = "0.2.0.2"; sha256 = "1vcxyin3cyc0pkhbfjyjm4r44yxk17gny5jhfg6hdlgivp150yij"; isLibrary = true; @@ -130952,7 +130838,6 @@ self: { testToolDepends = [ hspec-discover ]; description = "Monadic query DSL"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-packed-vector" = callPackage @@ -130981,7 +130866,7 @@ self: { broken = true; }) {}; - "hw-packed-vector_0_2_0_0" = callPackage + "hw-packed-vector_0_2_0_1" = callPackage ({ mkDerivation, base, binary, bytestring, criterion, deepseq , directory, generic-lens, hedgehog, hspec, hspec-discover, hw-bits , hw-hedgehog, hw-hspec-hedgehog, hw-prim, lens @@ -130989,10 +130874,8 @@ self: { }: mkDerivation { pname = "hw-packed-vector"; - version = "0.2.0.0"; - sha256 = "1nspd5hwn32fjgxmg08bq8hmyxzh61gc72zgd24mcpy94kzq58xd"; - revision = "1"; - editedCabalFile = "0ilqka4s82kwqrp876gfv6wp7gvlxkfzgxdz23l937vwx988cf1z"; + version = "0.2.0.1"; + sha256 = "12181b9fp11cdgf6xngjla9012j8rag3zcssz70x5a7xxgnwfmfc"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -131022,10 +130905,8 @@ self: { }: mkDerivation { pname = "hw-parser"; - version = "0.1.0.1"; - sha256 = "0df3238y4ld9j9w4p1x4lcv13lip9cf3fqn88z98xqjqmfzjdffn"; - revision = "1"; - editedCabalFile = "1nf0s726vr90dggcjxp1qz1msnvckjj908rsc4f991524gz4ygh7"; + version = "0.1.0.2"; + sha256 = "170dk943s2jbqqggxxfjxj23fbfk1532hgsrg63mr0v1ag9hlz1i"; libraryHaskellDepends = [ attoparsec base bytestring hw-prim text ]; @@ -131042,31 +130923,6 @@ self: { }: mkDerivation { pname = "hw-prim"; - version = "0.6.2.35"; - sha256 = "0fm1bmk2da0bsvpf8qvyyapwwc8vlybhibs5n53v067faf38dxqf"; - libraryHaskellDepends = [ - base bytestring ghc-prim mmap semigroups transformers unliftio-core - vector - ]; - testHaskellDepends = [ - base bytestring directory exceptions hedgehog hspec - hw-hspec-hedgehog mmap QuickCheck semigroups transformers vector - ]; - testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ - base bytestring criterion mmap semigroups transformers vector - ]; - description = "Primitive functions and data types"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "hw-prim_0_6_2_36" = callPackage - ({ mkDerivation, base, bytestring, criterion, directory, exceptions - , ghc-prim, hedgehog, hspec, hspec-discover, hw-hspec-hedgehog - , mmap, QuickCheck, semigroups, transformers, unliftio-core, vector - }: - mkDerivation { - pname = "hw-prim"; version = "0.6.2.36"; sha256 = "0anbwaz0hlskrlhp7nmzxzv7mgrs063d7qxhcv4iq9d46j90p031"; libraryHaskellDepends = [ @@ -131083,7 +130939,6 @@ self: { ]; description = "Primitive functions and data types"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "hw-prim-bits" = callPackage @@ -131185,40 +131040,13 @@ self: { "hw-rankselect-base" = callPackage ({ mkDerivation, base, bits-extra, criterion, hedgehog, hspec - , hw-bits, hw-hedgehog, hw-hspec-hedgehog, hw-int, hw-prim - , hw-string-parse, QuickCheck, safe, vector - }: - mkDerivation { - pname = "hw-rankselect-base"; - version = "0.3.2.1"; - sha256 = "0q4kywln4bls2dvazhqh2acw4yqnabnx0mdkhldgg70q8amnq2nj"; - revision = "3"; - editedCabalFile = "1hbbxxzmar0djj4r43nr3ar9nsl659wnq20rw6cp6q974ivlglx2"; - libraryHaskellDepends = [ - base bits-extra hw-bits hw-int hw-prim hw-string-parse safe vector - ]; - testHaskellDepends = [ - base bits-extra hedgehog hspec hw-bits hw-hedgehog - hw-hspec-hedgehog hw-prim QuickCheck vector - ]; - benchmarkHaskellDepends = [ - base bits-extra criterion hw-bits hw-prim vector - ]; - description = "Rank-select base"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-rankselect-base_0_3_2_2" = callPackage - ({ mkDerivation, base, bits-extra, criterion, hedgehog, hspec , hspec-discover, hw-bits, hw-hedgehog, hw-hspec-hedgehog, hw-int , hw-prim, hw-string-parse, QuickCheck, vector }: mkDerivation { pname = "hw-rankselect-base"; - version = "0.3.2.2"; - sha256 = "0wykyw452wdfzb99fr604vq3ifv689gqmix9g25mmypiwfp0jcq1"; + version = "0.3.2.3"; + sha256 = "1gkgbrpklzzfxs5b2w98l5zr48pvdc1l4zll77s2ya7lw0hc69m5"; libraryHaskellDepends = [ base bits-extra hw-bits hw-int hw-prim hw-string-parse vector ]; @@ -131244,40 +131072,6 @@ self: { }: mkDerivation { pname = "hw-simd"; - version = "0.1.1.4"; - sha256 = "1rc4zzp3h87zqrdsgwvc9vxydv200jk7q02vcqfk23wqg0sxvpw0"; - revision = "1"; - editedCabalFile = "00a1wr7sbz6hyapd26asx4zs3g1r8x2y61zdckfb2dxxhyhs2kjw"; - libraryHaskellDepends = [ - base bits-extra bytestring deepseq hw-bits hw-prim hw-rankselect - hw-rankselect-base vector - ]; - libraryToolDepends = [ c2hs ]; - testHaskellDepends = [ - base bits-extra bytestring deepseq directory hedgehog hspec hw-bits - hw-hedgehog hw-hspec-hedgehog hw-prim hw-rankselect - hw-rankselect-base lens text vector - ]; - testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ - base bits-extra bytestring cassava containers criterion deepseq - directory hw-bits hw-prim hw-rankselect hw-rankselect-base mmap - vector - ]; - description = "SIMD library"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-simd_0_1_1_5" = callPackage - ({ mkDerivation, base, bits-extra, bytestring, c2hs, cassava - , containers, criterion, deepseq, directory, hedgehog, hspec - , hspec-discover, hw-bits, hw-hedgehog, hw-hspec-hedgehog, hw-prim - , hw-rankselect, hw-rankselect-base, lens, mmap, text, vector - }: - mkDerivation { - pname = "hw-simd"; version = "0.1.1.5"; sha256 = "0nhy3hi7gxbbjwh6rj4459nzbmlij2cjdg2zvdjgx3z3j5algqyb"; libraryHaskellDepends = [ @@ -131310,40 +131104,8 @@ self: { }: mkDerivation { pname = "hw-streams"; - version = "0.0.0.10"; - sha256 = "08ghjvgyd13b1mby213j86p9b8fbk3j3jbj1n9czq4w9sbsbpxxw"; - revision = "1"; - editedCabalFile = "141vh52p5mwxv77gdnbs6n0k9kkapz4wnwdbm4jpirmhl45bxzph"; - libraryHaskellDepends = [ - base bytestring ghc-prim hw-bits hw-prim mmap primitive semigroups - transformers vector - ]; - testHaskellDepends = [ - base bytestring directory exceptions ghc-prim hedgehog hspec - hw-bits hw-hspec-hedgehog hw-prim mmap primitive QuickCheck - semigroups transformers vector - ]; - testToolDepends = [ hspec-discover ]; - benchmarkHaskellDepends = [ - base bytestring criterion ghc-prim hw-bits hw-prim mmap primitive - semigroups transformers vector - ]; - description = "Primitive functions and data types"; - license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; - }) {}; - - "hw-streams_0_0_0_11" = callPackage - ({ mkDerivation, base, bytestring, criterion, directory, exceptions - , ghc-prim, hedgehog, hspec, hspec-discover, hw-bits - , hw-hspec-hedgehog, hw-prim, mmap, primitive, QuickCheck - , semigroups, transformers, vector - }: - mkDerivation { - pname = "hw-streams"; - version = "0.0.0.11"; - sha256 = "17cr818k1zpzs1f46nwlm7p01mwfwf9ndqnp0f0607lfacm7hs9y"; + version = "0.0.0.12"; + sha256 = "087sn4gxhf29vg9ydx8sfq33q16cz6cmda5r63k9xdki4ba761m4"; libraryHaskellDepends = [ base bytestring ghc-prim hw-bits hw-prim mmap primitive semigroups transformers vector @@ -131455,8 +131217,8 @@ self: { }: mkDerivation { pname = "hw-xml"; - version = "0.4.0.1"; - sha256 = "07xjdn2wzcvlhfbf3jlvrmw8mcbl1g5sc62xk6s73figs26gjm6z"; + version = "0.4.0.2"; + sha256 = "122viqivv2csgrv144xc88xhafiq5vcsy545jpmg052d3kg1x4g2"; isLibrary = true; isExecutable = true; enableSeparateDataOutput = true; @@ -131480,7 +131242,7 @@ self: { base bytestring criterion hw-balancedparens hw-bits hw-prim mmap resourcet vector ]; - description = "Conduits for tokenizing streams"; + description = "XML parser based on succinct data structures"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; broken = true; @@ -134787,25 +134549,6 @@ self: { }: mkDerivation { pname = "incremental-parser"; - version = "0.3.2.2"; - sha256 = "0mnqi0fnh5sl1fp74gcwvfm6mxhzrs1x7yl235yl0dhcyjp3vfx7"; - libraryHaskellDepends = [ base monoid-subclasses ]; - testHaskellDepends = [ - base checkers monoid-subclasses QuickCheck tasty tasty-quickcheck - ]; - benchmarkHaskellDepends = [ - base bytestring criterion deepseq monoid-subclasses text - ]; - description = "Generic parser library capable of providing partial results from partial input"; - license = stdenv.lib.licenses.gpl3; - }) {}; - - "incremental-parser_0_3_3" = callPackage - ({ mkDerivation, base, bytestring, checkers, criterion, deepseq - , monoid-subclasses, QuickCheck, tasty, tasty-quickcheck, text - }: - mkDerivation { - pname = "incremental-parser"; version = "0.3.3"; sha256 = "1y5qzbn1627v893s6nlm5prc507pwybf2f9b7icpjgcj1q046p6i"; libraryHaskellDepends = [ base monoid-subclasses ]; @@ -134817,7 +134560,6 @@ self: { ]; description = "Generic parser library capable of providing partial results from partial input"; license = stdenv.lib.licenses.gpl3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "incremental-sat-solver" = callPackage @@ -136350,8 +136092,8 @@ self: { }: mkDerivation { pname = "interpolatedstring-perl6"; - version = "1.0.1"; - sha256 = "0n3j6qvzp6as5ji93rn0sy873w5hdsplik51lkrah42cl95vmbay"; + version = "1.0.2"; + sha256 = "1dvv9dsf5mr6y7aikd57c0qlh1lkbq3y37bvn3hy2g15cn5ix2ss"; enableSeparateDataOutput = true; setupHaskellDepends = [ base Cabal process ]; libraryHaskellDepends = [ @@ -140731,18 +140473,19 @@ self: { }) {}; "json-tools" = callPackage - ({ mkDerivation, aeson, attoparsec, base, bytestring, containers - , process, tar, text, unordered-containers, vector + ({ mkDerivation, aeson, attoparsec, attoparsec-expr, base + , bytestring, containers, process, scientific, string-conversions + , tar, text, unordered-containers, vector }: mkDerivation { pname = "json-tools"; - version = "0.5.0"; - sha256 = "13iyhsq4010ypgmlsdkdk93w8dhg6v0cllsf0avfaxkdva9lrqkf"; + version = "0.5.1"; + sha256 = "0ylh7a3h2dwl55p5s4q7378k0f1jcsvwlngxqrr5v1kf1qp74jnm"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ - aeson attoparsec base bytestring containers process tar text - unordered-containers vector + aeson attoparsec attoparsec-expr base bytestring containers process + scientific string-conversions tar text unordered-containers vector ]; description = "A collection of JSON tools"; license = stdenv.lib.licenses.bsd3; @@ -144898,8 +144641,8 @@ self: { }: mkDerivation { pname = "language-ats"; - version = "1.7.4.1"; - sha256 = "17vvkkq84lcnjc9cdzcx3y2d94kjr34jf91h10h57zdfg93g851b"; + version = "1.7.6.0"; + sha256 = "06kbm7w7i5wg9gwwyjjmlap0wsijxalh6fydmbpq5whifgashsmy"; enableSeparateDataOutput = true; libraryHaskellDepends = [ ansi-wl-pprint array base composition-prelude containers deepseq @@ -145035,6 +144778,31 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "language-c-quote_0_12_2_1" = callPackage + ({ mkDerivation, alex, array, base, bytestring, containers + , exception-mtl, exception-transformers, filepath, happy + , haskell-src-meta, HUnit, mainland-pretty, mtl, srcloc, syb + , symbol, template-haskell, test-framework, test-framework-hunit + }: + mkDerivation { + pname = "language-c-quote"; + version = "0.12.2.1"; + sha256 = "0hwv4b40wj953f39gqn8ji4ycli67c90b8xbizskd4i1x3nqbi35"; + libraryHaskellDepends = [ + array base bytestring containers exception-mtl + exception-transformers filepath haskell-src-meta mainland-pretty + mtl srcloc syb symbol template-haskell + ]; + libraryToolDepends = [ alex happy ]; + testHaskellDepends = [ + base bytestring HUnit mainland-pretty srcloc symbol test-framework + test-framework-hunit + ]; + description = "C/CUDA/OpenCL/Objective-C quasiquoting library"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "language-c99" = callPackage ({ mkDerivation, base, pretty }: mkDerivation { @@ -146712,8 +146480,8 @@ self: { ({ mkDerivation, base, size-based }: mkDerivation { pname = "lazy-search"; - version = "0.1.2.0"; - sha256 = "026pim7hw5fvc514acfj8idkficid6jqmr5jmmz5zpj30wm8z5g8"; + version = "0.1.2.1"; + sha256 = "1vicd1yzcz3kw3r0widfx04j4qbzz4912j5v8c2bhd0z9hvc22vp"; libraryHaskellDepends = [ base size-based ]; description = "Finds values satisfying a lazy predicate"; license = stdenv.lib.licenses.bsd3; @@ -147791,14 +147559,14 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; - "lens-regex-pcre_1_0_0_0" = callPackage + "lens-regex-pcre_1_0_0_1" = callPackage ({ mkDerivation, base, bytestring, gauge, hspec, lens, pcre-heavy , template-haskell, text }: mkDerivation { pname = "lens-regex-pcre"; - version = "1.0.0.0"; - sha256 = "02am0q60nhn93jlrxskcc33mcgn0la8y4074vv0rbxxshbxz6k4h"; + version = "1.0.0.1"; + sha256 = "0g103a415npasr9a8ay1bs1f7m24w8f53h9x3wrpvvbaf0v3z74i"; libraryHaskellDepends = [ base bytestring lens pcre-heavy template-haskell text ]; @@ -150052,19 +149820,17 @@ self: { "linearmap-category" = callPackage ({ mkDerivation, base, call-stack, constrained-categories , containers, free-vector-spaces, ieee754, lens, linear - , manifolds-core, semigroups, tagged, transformers, vector - , vector-space + , manifolds-core, QuickCheck, semigroups, tagged, transformers + , vector, vector-space }: mkDerivation { pname = "linearmap-category"; - version = "0.3.5.0"; - sha256 = "0qmd0nz343j3j3kprbhwfkglcswfcawfy0y6g4ai6nzdga42nfrf"; - revision = "4"; - editedCabalFile = "0bn66b6klifv5dqklczbrar54zkjcd1v5h6p0hlh6vc3plq2351q"; + version = "0.4.0.0"; + sha256 = "18fk6fj98w660mid7sadq8algmm5a5gz5150ac62kdp4rrk6igjk"; libraryHaskellDepends = [ base call-stack constrained-categories containers - free-vector-spaces ieee754 lens linear manifolds-core semigroups - tagged transformers vector vector-space + free-vector-spaces ieee754 lens linear manifolds-core QuickCheck + semigroups tagged transformers vector vector-space ]; description = "Native, complete, matrix-free linear algebra"; license = stdenv.lib.licenses.gpl3; @@ -150139,8 +149905,8 @@ self: { }: mkDerivation { pname = "lingo"; - version = "0.2.0.0"; - sha256 = "0wgrliwxgwsylga3hkikpjyf7a835n7y62kihj6glymim2xv1vdw"; + version = "0.3.0.0"; + sha256 = "0cjxd9yflagps5760h62m948nmhbn0ad8kyldv9k28i59phm8gwx"; setupHaskellDepends = [ base bytestring Cabal containers directory filepath text yaml ]; @@ -153548,11 +153314,9 @@ self: { ]; description = "Functional test framework for LSP servers"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; - "lsp-test_0_7_0_0" = callPackage + "lsp-test_0_8_0_0" = callPackage ({ mkDerivation, aeson, aeson-pretty, ansi-terminal, async, base , bytestring, conduit, conduit-parse, containers, data-default , Diff, directory, filepath, haskell-lsp, hspec, lens, mtl @@ -153561,8 +153325,8 @@ self: { }: mkDerivation { pname = "lsp-test"; - version = "0.7.0.0"; - sha256 = "1lm299gbahrnwfrprhhpzxrmjljj33pps1gzz2wzmp3m9gzl1dx5"; + version = "0.8.0.0"; + sha256 = "1w8ag6v8mdgxynz32nzxmvfaar68abmsh3fchdfbhz2ky07p6085"; libraryHaskellDepends = [ aeson aeson-pretty ansi-terminal async base bytestring conduit conduit-parse containers data-default Diff directory filepath @@ -153576,7 +153340,6 @@ self: { description = "Functional test framework for LSP servers"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "lss" = callPackage @@ -155760,8 +155523,8 @@ self: { }: mkDerivation { pname = "manifold-random"; - version = "0.5.0.3"; - sha256 = "12k5h3r6wnqjy5vzia3hypwjrh953cc5n912k1rfdwm4m4pznq03"; + version = "0.5.0.4"; + sha256 = "0wf0ya7w73ikd0ivg7m8q4nnc3mv7426l5xlf04zs5gh982x33gl"; libraryHaskellDepends = [ base constrained-categories linearmap-category manifolds random-fu semigroups vector-space @@ -155783,10 +155546,8 @@ self: { }: mkDerivation { pname = "manifolds"; - version = "0.5.0.3"; - sha256 = "16g0xfs99xcccyngknplgmargz4y4ncfw0wjm7sinjcrfd7js9fb"; - revision = "2"; - editedCabalFile = "0l76g7aqjfpp8s9wxdap4lhp3m06hfghz9lgdkr5nfjx60bgy69x"; + version = "0.5.0.4"; + sha256 = "0cng3sp2lg8bfi8g9w4p7ccmg5b177svljl5cki286kdjqsvl320"; libraryHaskellDepends = [ array base binary call-stack comonad constrained-categories containers deepseq free free-vector-spaces ieee754 lens linear @@ -155809,8 +155570,8 @@ self: { ({ mkDerivation, base, call-stack, tagged, vector-space }: mkDerivation { pname = "manifolds-core"; - version = "0.5.0.3"; - sha256 = "1r459qjbdqygxplv06bnqrysfl0xvmx5i7jkwgah1blpmipr4nwz"; + version = "0.5.0.4"; + sha256 = "0b1acwmmjcgq6bb0qijh227wq49vl295gd0d4iz8lpk1g1pwb2v2"; libraryHaskellDepends = [ base call-stack tagged vector-space ]; description = "The basic classes for the manifolds hierarchy"; license = stdenv.lib.licenses.gpl3; @@ -156814,28 +156575,6 @@ self: { }: mkDerivation { pname = "matplotlib"; - version = "0.7.4"; - sha256 = "0vpvi0iigmajz3dn0kx5kk9i7ccpbxs1f9fg4qymy3v18zd3wiqg"; - libraryHaskellDepends = [ - aeson base bytestring containers deepseq filepath process split - temporary - ]; - testHaskellDepends = [ - ad base bytestring directory process random raw-strings-qq split - tasty tasty-expected-failure tasty-golden tasty-hunit temporary - ]; - description = "Bindings to Matplotlib; a Python plotting library"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "matplotlib_0_7_5" = callPackage - ({ mkDerivation, ad, aeson, base, bytestring, containers, deepseq - , directory, filepath, process, random, raw-strings-qq, split - , tasty, tasty-expected-failure, tasty-golden, tasty-hunit - , temporary - }: - mkDerivation { - pname = "matplotlib"; version = "0.7.5"; sha256 = "0iyjr6j5jp7lqrk9rqqvxz3rx8221hwz56p82zqkj3aarrvy21cs"; libraryHaskellDepends = [ @@ -156848,7 +156587,6 @@ self: { ]; description = "Bindings to Matplotlib; a Python plotting library"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "matrices" = callPackage @@ -160895,6 +160633,35 @@ self: { license = stdenv.lib.licenses.mit; }) {}; + "mmsyn3" = callPackage + ({ mkDerivation, base, directory }: + mkDerivation { + pname = "mmsyn3"; + version = "0.1.1.1"; + sha256 = "1gx526ws7hdnn0pwffz46w0hywysbhqrxanwkdhw8v9qcwawkdbx"; + libraryHaskellDepends = [ base directory ]; + description = "A small library to deal with executable endings"; + license = stdenv.lib.licenses.mit; + }) {}; + + "mmsyn4" = callPackage + ({ mkDerivation, base, directory, mmsyn2, mmsyn3, process, vector + }: + mkDerivation { + pname = "mmsyn4"; + version = "0.1.1.1"; + sha256 = "07m0kga90ds25nd187xvfy0kqdsg8cilp6h52w4p4wfgr7hwahnr"; + revision = "2"; + editedCabalFile = "0ddc6pwyibgwvxwk60l1qiw7smkx8gpk7ikj5a9c7kcjq98ndf0z"; + isLibrary = false; + isExecutable = true; + executableHaskellDepends = [ + base directory mmsyn2 mmsyn3 process vector + ]; + description = "The \"glue\" between electronic tables and GraphViz"; + license = stdenv.lib.licenses.mit; + }) {}; + "mmtf" = callPackage ({ mkDerivation, array, base, binary, bytestring, containers , data-msgpack, deepseq, hspec, http-conduit, QuickCheck, text @@ -163254,8 +163021,8 @@ self: { pname = "monoidal-containers"; version = "0.6"; sha256 = "1ii09s068g6bj2j10ig3g3ymv1ci6zg596pmmaw6als15j9bybc9"; - revision = "1"; - editedCabalFile = "1k4k8g5a7swaylcqnga7lyp0lly8j1fqzdwsnznmps8bwn1pn1kk"; + revision = "2"; + editedCabalFile = "17qv2kds7m4mi3r4rd89d2nhcjc06lzdfg93qsn6ldssnypq0rra"; libraryHaskellDepends = [ aeson base containers deepseq hashable lens newtype semialign semigroups these unordered-containers @@ -168016,8 +167783,8 @@ self: { }: mkDerivation { pname = "net-mqtt"; - version = "0.6.0.0"; - sha256 = "1b27jxqs8wbknn3bsv1wcl93qzz9i46g1x449iz40ca3r3bylwcn"; + version = "0.6.0.1"; + sha256 = "1mcr228i7cdpwfpxxvgk7nah32bfc39mx4vr014zcy728imgj8dx"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -170582,6 +170349,36 @@ self: { maintainers = with stdenv.lib.maintainers; [ peti ]; }) {inherit (pkgs) nix;}; + "nix-tools" = callPackage + ({ mkDerivation, aeson, base, base16-bytestring, bytestring, Cabal + , containers, cryptohash-sha256, data-fix, deepseq, directory + , extra, filepath, hackage-db, hnix, hpack, http-client + , http-client-tls, http-types, microlens, microlens-aeson + , optparse-applicative, prettyprinter, process, tar, text, time + , transformers, unordered-containers, vector, yaml, zlib + }: + mkDerivation { + pname = "nix-tools"; + version = "0.1.0.0"; + sha256 = "09cwqxqj8hqf72d0ix9qim9b5gbzgqjkq7lvwg3w59zik8j7r7qk"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson base base16-bytestring bytestring Cabal cryptohash-sha256 + data-fix deepseq directory filepath hnix hpack process text + transformers unordered-containers + ]; + executableHaskellDepends = [ + aeson base base16-bytestring bytestring Cabal containers + cryptohash-sha256 data-fix directory extra filepath hackage-db hnix + hpack http-client http-client-tls http-types microlens + microlens-aeson optparse-applicative prettyprinter tar text time + transformers unordered-containers vector yaml zlib + ]; + description = "cabal/stack to nix translation tools"; + license = stdenv.lib.licenses.bsd3; + }) {}; + "nixfmt" = callPackage ({ mkDerivation, base, cmdargs, directory, filepath, megaparsec , parser-combinators, safe-exceptions, text, unix @@ -177051,8 +176848,8 @@ self: { }: mkDerivation { pname = "pango"; - version = "0.13.6.0"; - sha256 = "14qcikd9r06ra7zp557c0bffd357yj4hk9bjigyhq2kdrc2l7igr"; + version = "0.13.6.1"; + sha256 = "1b17nap158ml58ks0zsdqx6v7gbdwaha1m0rw4bh4if7h0ai9vxp"; enableSeparateDataOutput = true; setupHaskellDepends = [ base Cabal filepath gtk2hs-buildtools ]; libraryHaskellDepends = [ @@ -178077,10 +177874,8 @@ self: { }: mkDerivation { pname = "paripari"; - version = "0.6.0.0"; - sha256 = "1604py5ms14xhmvsxdqx56xfbs3g4wkhjd8f5gsmhpqwz7acy511"; - revision = "2"; - editedCabalFile = "074z7wrb1p4c8wxwmqv3nxs6kp835r9pxmmnspqaajj0rag70ny3"; + version = "0.6.0.1"; + sha256 = "1i82rwd2ysplqazqnarnshdrg8gjsbgh9kzn0mc4avl2lfi3pk16"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -178714,6 +178509,17 @@ self: { broken = true; }) {}; + "partial-records" = callPackage + ({ mkDerivation, base, template-haskell, transformers }: + mkDerivation { + pname = "partial-records"; + version = "0.2.1.0"; + sha256 = "1aw1cnr4zxwczmxirkd7pw5pfajfyinl5d4ar5xhq4hmfyfrdvxi"; + libraryHaskellDepends = [ base template-haskell transformers ]; + description = "Template haskell utilities for constructing records with default values"; + license = stdenv.lib.licenses.bsd3; + }) {}; + "partial-semigroup" = callPackage ({ mkDerivation, base, doctest, hedgehog }: mkDerivation { @@ -180656,8 +180462,8 @@ self: { }: mkDerivation { pname = "persist"; - version = "0.1.1.3"; - sha256 = "0lcjk2q9x0qclc3znwv9xrqqwbczw2ryvamfqa6hvabr618lmi4p"; + version = "0.1.1.4"; + sha256 = "0g15l5fqzw30hsrc58hmgz5vbw8bfbgin7gi2dwahc98k8i0gxd4"; libraryHaskellDepends = [ base bytestring containers text ]; testHaskellDepends = [ base bytestring QuickCheck test-framework @@ -184541,6 +184347,8 @@ self: { pname = "playlists"; version = "0.5.0.0"; sha256 = "0653aifikinz69wq3d6sfkchcchhwlndh1lf40qrk96941qss0d6"; + revision = "1"; + editedCabalFile = "19vwlvva12p4r3ch1ik7m6h5r7cy0f35qn8smd41pv47v29dsxiq"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -187892,10 +187700,8 @@ self: { }: mkDerivation { pname = "pragmatic-show"; - version = "0.1.2.0"; - sha256 = "1nkwimmnk10p0pnv2hr3mxgfs1r2rjfhiaccmhd68a6279whp6p7"; - revision = "2"; - editedCabalFile = "197mbpl6542amy9hmramkhrb57s3wycsc1g2c5vhyfnnpbcrh1pc"; + version = "0.1.2.1"; + sha256 = "1i3yj11vdnca6klnn698fdwpjw356r87zbp7jlc4f4v76qhllfrm"; libraryHaskellDepends = [ base containers ]; testHaskellDepends = [ base tasty tasty-hunit tasty-quickcheck vector-space @@ -188022,8 +187828,8 @@ self: { ({ mkDerivation, adjunctions, base, lens, mtl }: mkDerivation { pname = "predicate-transformers"; - version = "0.3.0.0"; - sha256 = "1wdbizrg6wx1yl5f8h305k2a4vp6lpbxxdh3d761z9xr6fq9b5qj"; + version = "0.5.0.0"; + sha256 = "114mzc7vshxcvzlgqjyhadhmzjkmlszi513fjfmqfl6n556k48wf"; libraryHaskellDepends = [ adjunctions base lens mtl ]; description = "A library for writing predicates and transformations over predicates in Haskell"; license = stdenv.lib.licenses.bsd3; @@ -190450,8 +190256,6 @@ self: { ]; description = "Prometheus Haskell Client"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "prometheus-client" = callPackage @@ -191455,8 +191259,8 @@ self: { }: mkDerivation { pname = "pseudo-boolean"; - version = "0.1.8.0"; - sha256 = "0na3kx4zxjmznfhw9121w8963vm2qppij5i93j4lvd3sflpwry9b"; + version = "0.1.9.0"; + sha256 = "00n5mf7abprhr9xvh3k1mw40jn4l94wwxpc2h0546h0n9v7srb1b"; libraryHaskellDepends = [ attoparsec base bytestring bytestring-builder containers deepseq dlist hashable megaparsec parsec void @@ -195503,8 +195307,8 @@ self: { }: mkDerivation { pname = "rank2classes"; - version = "1.3.1"; - sha256 = "07ykacy93c1rgh9a5ndkkhaviyfr61xfhi430rpwamk4h6i6qiy3"; + version = "1.3.1.1"; + sha256 = "1rx44kjb2vgycmz68wxxdypc4lx7b27sr42xsgmy1l29gigiksij"; libraryHaskellDepends = [ base distributive template-haskell transformers ]; @@ -195968,6 +195772,39 @@ self: { broken = true; }) {}; + "rattletrap_9_0_4" = callPackage + ({ mkDerivation, aeson, aeson-pretty, base, binary, binary-bits + , bytestring, containers, filepath, http-client, http-client-tls + , HUnit, scientific, template-haskell, temporary, text + , transformers + }: + mkDerivation { + pname = "rattletrap"; + version = "9.0.4"; + sha256 = "05d0l0yajb12x3rvn5yz5534lvlkzzzwx2n8x9l1wzx4cavz7fan"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson aeson-pretty base binary binary-bits bytestring containers + filepath http-client http-client-tls scientific template-haskell + text transformers + ]; + executableHaskellDepends = [ + aeson aeson-pretty base binary binary-bits bytestring containers + filepath http-client http-client-tls scientific template-haskell + text transformers + ]; + testHaskellDepends = [ + aeson aeson-pretty base binary binary-bits bytestring containers + filepath http-client http-client-tls HUnit scientific + template-haskell temporary text transformers + ]; + description = "Parse and generate Rocket League replays"; + license = stdenv.lib.licenses.mit; + hydraPlatforms = stdenv.lib.platforms.none; + broken = true; + }) {}; + "raven-haskell" = callPackage ({ mkDerivation, aeson, base, bytestring, hspec, http-conduit, mtl , network, random, resourcet, text, time, unordered-containers @@ -197041,26 +196878,6 @@ self: { }: mkDerivation { pname = "reanimate-svg"; - version = "0.9.3.0"; - sha256 = "1wwk2bd802nznwb9nlc7pq16krldw3dzj89fjd1v00plg9b5i3i4"; - libraryHaskellDepends = [ - attoparsec base bytestring containers JuicyPixels lens linear mtl - scientific text transformers vector xml - ]; - testHaskellDepends = [ - attoparsec base hspec linear scientific svg-tree - ]; - description = "SVG file loader and serializer"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "reanimate-svg_0_9_3_1" = callPackage - ({ mkDerivation, attoparsec, base, bytestring, containers, hspec - , JuicyPixels, lens, linear, mtl, scientific, svg-tree, text - , transformers, vector, xml - }: - mkDerivation { - pname = "reanimate-svg"; version = "0.9.3.1"; sha256 = "1bxfhfdr7p98aj12krxrzcmvxbl8b20flbvqydja8pcwh6jgd744"; libraryHaskellDepends = [ @@ -197072,7 +196889,6 @@ self: { ]; description = "SVG file loader and serializer"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "reason-export" = callPackage @@ -198213,8 +198029,8 @@ self: { pname = "reflex-dom-retractable"; version = "0.1.0.0"; sha256 = "0qdr3xrpg5dhfsbz6b2883jkhvc7nckmn05gyc844xn0fjlrlbda"; - revision = "1"; - editedCabalFile = "1idkwal502xg7qb8hwk5yg3mqy62h5xjmyciv2xs3x3axcckllcv"; + revision = "2"; + editedCabalFile = "19l4apgi05q2hi145wfyp3f6nkmdj9njpqmjk5g6hjma3pgncpsc"; libraryHaskellDepends = [ base containers jsaddle mtl reflex reflex-dom ]; @@ -200473,8 +200289,8 @@ self: { }: mkDerivation { pname = "replace-attoparsec"; - version = "1.0.2.0"; - sha256 = "13fri1sqvr9ldzbr7wif4fn5phjmca3pql10qzx049gyip1vfy8a"; + version = "1.0.3.0"; + sha256 = "0vksppf0x9wp9hs6h9i2jbaz5vmrv8r78dv3dr4g3d8bnkh2vmwz"; libraryHaskellDepends = [ attoparsec base bytestring text ]; testHaskellDepends = [ attoparsec base bytestring Cabal parsers text @@ -200482,24 +200298,19 @@ self: { benchmarkHaskellDepends = [ attoparsec base bytestring criterion text ]; - description = "Stream edit, find-and-replace with Attoparsec parsers"; + description = "Find, replace, and edit text patterns with Attoparsec parsers"; license = stdenv.lib.licenses.bsd2; }) {}; "replace-megaparsec" = callPackage - ({ mkDerivation, base, bytestring, Cabal, criterion, megaparsec - , text - }: + ({ mkDerivation, base, bytestring, Cabal, megaparsec, text }: mkDerivation { pname = "replace-megaparsec"; - version = "1.1.4.0"; - sha256 = "0mfxk03p6wwikyrra2r5zs06axl7v0da9ggv5ycd6mi4bc5hpj8r"; + version = "1.1.5.0"; + sha256 = "07y21p6a65gm3zgi3g9rfgr8ali548jcq8qcg5fyzl2bl1bj8pyd"; libraryHaskellDepends = [ base megaparsec ]; testHaskellDepends = [ base bytestring Cabal megaparsec text ]; - benchmarkHaskellDepends = [ - base bytestring criterion megaparsec text - ]; - description = "Stream edit, find-and-replace with Megaparsec parsers"; + description = "Find, replace, and edit text patterns with Megaparsec parsers"; license = stdenv.lib.licenses.bsd2; }) {}; @@ -201618,26 +201429,6 @@ self: { }: mkDerivation { pname = "retry"; - version = "0.8.0.2"; - sha256 = "1i98a5pp37fcny28wfp002bc16m9jf793jicbp83ffwlk0g123v5"; - libraryHaskellDepends = [ - base exceptions ghc-prim random transformers - ]; - testHaskellDepends = [ - base exceptions ghc-prim hedgehog HUnit mtl random stm tasty - tasty-hedgehog tasty-hunit time transformers - ]; - description = "Retry combinators for monadic actions that may fail"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "retry_0_8_1_0" = callPackage - ({ mkDerivation, base, exceptions, ghc-prim, hedgehog, HUnit, mtl - , random, stm, tasty, tasty-hedgehog, tasty-hunit, time - , transformers - }: - mkDerivation { - pname = "retry"; version = "0.8.1.0"; sha256 = "02k03r86amg1vbrsvb644342ym13d9jwkzki9sk93pdg5l8j35dj"; libraryHaskellDepends = [ @@ -201649,7 +201440,6 @@ self: { ]; description = "Retry combinators for monadic actions that may fail"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "retryer" = callPackage @@ -206064,17 +205854,17 @@ self: { broken = true; }) {inherit (pkgs) z3;}; - "sbv_8_4" = callPackage + "sbv_8_5" = callPackage ({ mkDerivation, array, async, base, bytestring, containers - , crackNum, deepseq, directory, doctest, filepath, generic-deriving - , ghc, Glob, hlint, mtl, pretty, process, QuickCheck, random, syb - , tasty, tasty-golden, tasty-hunit, tasty-quickcheck - , template-haskell, time, transformers, z3 + , crackNum, criterion, deepseq, directory, doctest, filepath + , generic-deriving, ghc, Glob, hlint, mtl, pretty, process + , QuickCheck, random, syb, tasty, tasty-golden, tasty-hunit + , tasty-quickcheck, template-haskell, time, transformers, z3 }: mkDerivation { pname = "sbv"; - version = "8.4"; - sha256 = "0fv1l99zw29vsfgzym0qvb8qcy1jb7gkd1yj48vy1w0ayg9w01i0"; + version = "8.5"; + sha256 = "108j9b10sf7cv838g07lip17qx49d9lh9ajd3q2r0848szg0kf1p"; enableSeparateDataOutput = true; libraryHaskellDepends = [ array async base containers crackNum deepseq directory filepath @@ -206087,6 +205877,10 @@ self: { tasty-quickcheck template-haskell ]; testSystemDepends = [ z3 ]; + benchmarkHaskellDepends = [ + base containers crackNum criterion deepseq directory filepath mtl + process random syb + ]; description = "SMT Based Verification: Symbolic Haskell theorem prover using SMT solving"; license = stdenv.lib.licenses.bsd3; hydraPlatforms = stdenv.lib.platforms.none; @@ -206604,8 +206398,8 @@ self: { }: mkDerivation { pname = "schemas"; - version = "0.2.0.2"; - sha256 = "0fpxjcs23kv3vq3mmg3f682n8yxb0666vflmvn9rnf9vc5afsz0x"; + version = "0.2.0.3"; + sha256 = "1s09viqh2ra0kikx54jr4sr2g38j65idg7xv5ccll30c0vnjjvcs"; libraryHaskellDepends = [ aeson base bifunctors bytestring free generics-sop hashable lens lens-aeson profunctors scientific text transformers @@ -208130,8 +207924,8 @@ self: { }: mkDerivation { pname = "secp256k1-legacy"; - version = "0.5.5"; - sha256 = "1wqzv26zcbd9lxl8ifwwwnp7ikfd7rs8w784gdap963yk0k3692d"; + version = "0.5.6"; + sha256 = "0p7kp7011hfc95rdchkniw3gj5i0pkwgsa5kkhwn6fjzs2clslbz"; setupHaskellDepends = [ base Cabal ]; libraryHaskellDepends = [ base base16-bytestring bytestring cereal entropy mtl QuickCheck @@ -211729,24 +211523,6 @@ self: { }: mkDerivation { pname = "servant-swagger-ui"; - version = "0.3.4.3.22.2"; - sha256 = "0ig05xzh4iybnwzh7bx8i7a337j0xfmsxbmfi9iaiz4g6f9s5clm"; - revision = "1"; - editedCabalFile = "084cqli1d30vz6mrj6l9cxlwmigqqiydkxdq90xvz3ffhs5cyq8p"; - libraryHaskellDepends = [ - base bytestring file-embed-lzma servant servant-server - servant-swagger-ui-core swagger2 text - ]; - description = "Servant swagger ui"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "servant-swagger-ui_0_3_4_3_23_11" = callPackage - ({ mkDerivation, base, bytestring, file-embed-lzma, servant - , servant-server, servant-swagger-ui-core, swagger2, text - }: - mkDerivation { - pname = "servant-swagger-ui"; version = "0.3.4.3.23.11"; sha256 = "0ryr6h74vz6q6q0c9aixb7kwhq1vn95m3m0799cvc0xkfvm0ljha"; libraryHaskellDepends = [ @@ -211755,7 +211531,6 @@ self: { ]; description = "Servant swagger ui"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "servant-swagger-ui-core" = callPackage @@ -211802,24 +211577,6 @@ self: { }: mkDerivation { pname = "servant-swagger-ui-redoc"; - version = "0.3.3.1.22.2"; - sha256 = "0qkh4h5ijhizlnps79gg75jy533rpc1vcw7v7yxika585srndim1"; - revision = "1"; - editedCabalFile = "1qi389fjrlnqbsm7kw6rbyga19mw9286g5ibavi888jqyllpmi8f"; - libraryHaskellDepends = [ - base bytestring file-embed-lzma servant servant-server - servant-swagger-ui-core swagger2 text - ]; - description = "Servant swagger ui: ReDoc theme"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "servant-swagger-ui-redoc_0_3_3_1_22_3" = callPackage - ({ mkDerivation, base, bytestring, file-embed-lzma, servant - , servant-server, servant-swagger-ui-core, swagger2, text - }: - mkDerivation { - pname = "servant-swagger-ui-redoc"; version = "0.3.3.1.22.3"; sha256 = "0bzkrh1hf29vfa1r1sgifb9j2zcg6i43fal4abbx4lcqvf155pzv"; libraryHaskellDepends = [ @@ -211828,7 +211585,6 @@ self: { ]; description = "Servant swagger ui: ReDoc theme"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "servant-tracing" = callPackage @@ -213115,8 +212871,8 @@ self: { }: mkDerivation { pname = "shake-ats"; - version = "1.10.2.2"; - sha256 = "070vvzz0nmdal9ja43l8s4dll2iwxjzd3lmlynkdm1v9qzj0iw67"; + version = "1.10.2.3"; + sha256 = "00d7axnvrwgvskfhb51n55i188dlca2s1xwckqzycpafwbvxvsfx"; libraryHaskellDepends = [ base binary dependency directory hs2ats language-ats microlens shake shake-c shake-cabal shake-ext text @@ -213384,31 +213140,6 @@ self: { }: mkDerivation { pname = "shakespeare"; - version = "2.0.21"; - sha256 = "1assgcinf9i9rm7mphqfymzvn7z1m2jjkm98z7l2pb76z53mcvgh"; - libraryHaskellDepends = [ - aeson base blaze-html blaze-markup bytestring containers directory - exceptions ghc-prim parsec process scientific template-haskell text - time transformers unordered-containers vector - ]; - testHaskellDepends = [ - aeson base blaze-html blaze-markup bytestring containers directory - exceptions ghc-prim hspec HUnit parsec process template-haskell - text time transformers - ]; - description = "A toolkit for making compile-time interpolated templates"; - license = stdenv.lib.licenses.mit; - maintainers = with stdenv.lib.maintainers; [ psibi ]; - }) {}; - - "shakespeare_2_0_22" = callPackage - ({ mkDerivation, aeson, base, blaze-html, blaze-markup, bytestring - , containers, directory, exceptions, ghc-prim, hspec, HUnit, parsec - , process, scientific, template-haskell, text, time, transformers - , unordered-containers, vector - }: - mkDerivation { - pname = "shakespeare"; version = "2.0.22"; sha256 = "1mc1a0vv070gcawwcx6vzpj6gpfh1qnlqrndiyfic3p500y656vh"; libraryHaskellDepends = [ @@ -213423,7 +213154,6 @@ self: { ]; description = "A toolkit for making compile-time interpolated templates"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; maintainers = with stdenv.lib.maintainers; [ psibi ]; }) {}; @@ -214055,8 +213785,8 @@ self: { }: mkDerivation { pname = "shh"; - version = "0.7.0.6"; - sha256 = "0whcynzjsm0agq456kv6dn6d4gk1k3vhvnbwvjar4sbn0yiz4if1"; + version = "0.7.0.7"; + sha256 = "07xj1l7pk6j072ry2mi6jp6r0ivs4m0fqvfvm62jvhm7vhlg3m8m"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -216188,6 +215918,8 @@ self: { pname = "size-based"; version = "0.1.2.0"; sha256 = "06hmlic0n73ncwlkpx49xlv09bzsrr27ncnp5byhzlknak2gd7vp"; + revision = "1"; + editedCabalFile = "0kax1ypjyglkn6iff1x4yz12y7f2n249m95xvdhrc63hsa4xlcqv"; libraryHaskellDepends = [ base dictionary-sharing template-haskell testing-type-modifiers ]; @@ -216847,8 +216579,8 @@ self: { }: mkDerivation { pname = "slave-thread"; - version = "1.0.3"; - sha256 = "09yvcgd997lj8wbal443hafr1w72v45ks4fxrm05b45malb3vs98"; + version = "1.1"; + sha256 = "02b64s0m7k81s187wj81yzr7l73p79iyh7a59dqf7r72l4r7akbd"; libraryHaskellDepends = [ base deferred-folds focus foldl stm-containers ]; @@ -217131,18 +216863,18 @@ self: { }: mkDerivation { pname = "small-bytearray-builder"; - version = "0.2.1.0"; - sha256 = "11r0nz8z16h75867xq4c62s69ic7vhwfwhl2c11441mkhbrk2nbw"; + version = "0.3.0.0"; + sha256 = "17c0mwanwj96djirh6vac9k5dh8qsl9inx237wwbln4j3br4mkz4"; libraryHaskellDepends = [ base byteslice bytestring natural-arithmetic primitive - primitive-offset run-st text-short vector + primitive-offset run-st text-short ]; testHaskellDepends = [ base byteslice bytestring natural-arithmetic primitive QuickCheck tasty tasty-hunit tasty-quickcheck text vector ]; benchmarkHaskellDepends = [ - base gauge natural-arithmetic primitive + base byteslice gauge natural-arithmetic primitive text-short ]; description = "Serialize to a small byte arrays"; license = stdenv.lib.licenses.bsd3; @@ -224757,6 +224489,58 @@ self: { license = stdenv.lib.licenses.mit; }) {}; + "store_0_6_0" = callPackage + ({ mkDerivation, array, async, base, base-orphans + , base64-bytestring, bifunctors, bytestring, cereal, cereal-vector + , clock, containers, contravariant, criterion, cryptohash, deepseq + , directory, filepath, free, ghc-prim, hashable, hspec + , hspec-smallcheck, integer-gmp, lifted-base, monad-control + , mono-traversable, network, primitive, resourcet, safe, semigroups + , smallcheck, store-core, syb, template-haskell, text, th-lift + , th-lift-instances, th-orphans, th-reify-many, th-utilities, time + , transformers, unordered-containers, vector + , vector-binary-instances, void, weigh + }: + mkDerivation { + pname = "store"; + version = "0.6.0"; + sha256 = "0l3v736insivkvrbnfqv6qyraxyfmxb2n85r3gwfjwnwdwhljhk6"; + libraryHaskellDepends = [ + array async base base-orphans base64-bytestring bifunctors + bytestring containers contravariant cryptohash deepseq directory + filepath free ghc-prim hashable hspec hspec-smallcheck integer-gmp + lifted-base monad-control mono-traversable network primitive + resourcet safe semigroups smallcheck store-core syb + template-haskell text th-lift th-lift-instances th-orphans + th-reify-many th-utilities time transformers unordered-containers + vector void + ]; + testHaskellDepends = [ + array async base base-orphans base64-bytestring bifunctors + bytestring clock containers contravariant cryptohash deepseq + directory filepath free ghc-prim hashable hspec hspec-smallcheck + integer-gmp lifted-base monad-control mono-traversable network + primitive resourcet safe semigroups smallcheck store-core syb + template-haskell text th-lift th-lift-instances th-orphans + th-reify-many th-utilities time transformers unordered-containers + vector void + ]; + benchmarkHaskellDepends = [ + array async base base-orphans base64-bytestring bifunctors + bytestring cereal cereal-vector containers contravariant criterion + cryptohash deepseq directory filepath free ghc-prim hashable hspec + hspec-smallcheck integer-gmp lifted-base monad-control + mono-traversable network primitive resourcet safe semigroups + smallcheck store-core syb template-haskell text th-lift + th-lift-instances th-orphans th-reify-many th-utilities time + transformers unordered-containers vector vector-binary-instances + void weigh + ]; + description = "Fast binary serialization"; + license = stdenv.lib.licenses.mit; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "store-core" = callPackage ({ mkDerivation, base, bytestring, ghc-prim, primitive, text , transformers @@ -224772,6 +224556,22 @@ self: { license = stdenv.lib.licenses.mit; }) {}; + "store-core_0_4_4_1" = callPackage + ({ mkDerivation, base, bytestring, ghc-prim, primitive, text + , transformers + }: + mkDerivation { + pname = "store-core"; + version = "0.4.4.1"; + sha256 = "1dq5wpc6q95nq9jnlwkrnrvf48xz3lq7p5g90g0mym5laq1qhdpc"; + libraryHaskellDepends = [ + base bytestring ghc-prim primitive text transformers + ]; + description = "Fast and lightweight binary serialization"; + license = stdenv.lib.licenses.mit; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "store-streaming" = callPackage ({ mkDerivation, async, base, bytestring, conduit, free, hspec , hspec-smallcheck, network, resourcet, smallcheck, store @@ -224779,8 +224579,8 @@ self: { }: mkDerivation { pname = "store-streaming"; - version = "0.1.0.0"; - sha256 = "04vsglnxqgznnacgmb34z3dsb7pz1kmc2fis1ij5p7k740c9gn2g"; + version = "0.2.0.0"; + sha256 = "0fhcv0lvmhdc53fx9y2dvvykvap7dz4asnajy95kpwhaz6z1xc2k"; libraryHaskellDepends = [ async base bytestring conduit free resourcet store store-core streaming-commons text transformers @@ -228632,22 +228432,30 @@ self: { "symbiote" = callPackage ({ mkDerivation, abides, aeson, async, base, bytestring, cereal - , cereal-text, containers, monad-control, mtl, QuickCheck - , quickcheck-instances, stm, tasty, tasty-hunit, tasty-quickcheck - , text + , containers, exceptions, extractable-singleton, http-types + , monad-control-aligned, mtl, QuickCheck, quickcheck-instances, stm + , tasty, tasty-hunit, tasty-quickcheck, text, wai, wai-extra + , wai-transformers, wai-websockets, warp, websockets + , websockets-simple, websockets-simple-extra, zeromq4-haskell + , zeromq4-simple }: mkDerivation { pname = "symbiote"; - version = "0.0.1.1"; - sha256 = "0l0ibxsi57d4g7s6yg55wc1fxf7mpzpis5ag34i84g41syfwzyb7"; + version = "0.0.2"; + sha256 = "09siz5xy6gvlqy1vd61j7rhhqi6dyg5fc4yl6h1nifchk6126939"; libraryHaskellDepends = [ - abides aeson async base bytestring cereal cereal-text containers - monad-control mtl QuickCheck quickcheck-instances stm text + abides aeson async base bytestring cereal containers exceptions + extractable-singleton monad-control-aligned mtl QuickCheck + quickcheck-instances stm text wai-transformers websockets-simple + websockets-simple-extra zeromq4-haskell zeromq4-simple ]; testHaskellDepends = [ - abides aeson async base bytestring cereal cereal-text containers - monad-control mtl QuickCheck quickcheck-instances stm tasty - tasty-hunit tasty-quickcheck text + abides aeson async base bytestring cereal containers exceptions + extractable-singleton http-types monad-control-aligned mtl + QuickCheck quickcheck-instances stm tasty tasty-hunit + tasty-quickcheck text wai wai-extra wai-transformers wai-websockets + warp websockets websockets-simple websockets-simple-extra + zeromq4-haskell zeromq4-simple ]; description = "Data serialization, communication, and operation verification implementation"; license = stdenv.lib.licenses.bsd3; @@ -231497,8 +231305,6 @@ self: { ]; description = "TAP (Test Anything Protocol) Version 13 formatter for tasty"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "tasty-test-vector" = callPackage @@ -235436,6 +235242,27 @@ self: { license = stdenv.lib.licenses.mit; }) {}; + "th-utilities_0_2_3_1" = callPackage + ({ mkDerivation, base, bytestring, containers, directory, filepath + , hspec, primitive, syb, template-haskell, text, th-orphans, vector + }: + mkDerivation { + pname = "th-utilities"; + version = "0.2.3.1"; + sha256 = "1sy3bgwc85zw999cya92xsp9jllclwbzw9fmjmhqi4r5kj2gyk96"; + libraryHaskellDepends = [ + base bytestring containers directory filepath primitive syb + template-haskell text th-orphans + ]; + testHaskellDepends = [ + base bytestring containers directory filepath hspec primitive syb + template-haskell text th-orphans vector + ]; + description = "Collection of useful functions for use with Template Haskell"; + license = stdenv.lib.licenses.mit; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "thank-you-stars" = callPackage ({ mkDerivation, aeson, base, bytestring, Cabal, containers , directory, filepath, hackage-db, hspec, req, split, text @@ -237740,7 +237567,7 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; - "tls_1_5_1" = callPackage + "tls_1_5_2" = callPackage ({ mkDerivation, asn1-encoding, asn1-types, async, base, bytestring , cereal, cryptonite, data-default-class, gauge, hourglass, memory , mtl, network, QuickCheck, tasty, tasty-quickcheck, transformers @@ -237748,8 +237575,8 @@ self: { }: mkDerivation { pname = "tls"; - version = "1.5.1"; - sha256 = "1fs5q494ip8hi2jdp34wy93hmdd42lwkh1hi0jg3ngdnilpg33yi"; + version = "1.5.2"; + sha256 = "0c23k1aqsdi0kyyg3lcfj78z7bc9xkk0gwy53xmi7b5s6i8dp47b"; libraryHaskellDepends = [ asn1-encoding asn1-types async base bytestring cereal cryptonite data-default-class hourglass memory mtl network transformers x509 @@ -237787,15 +237614,15 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; - "tls-debug_0_4_7" = callPackage + "tls-debug_0_4_8" = callPackage ({ mkDerivation, base, bytestring, cryptonite, data-default-class , network, pem, tls, tls-session-manager, x509, x509-store , x509-system, x509-validation }: mkDerivation { pname = "tls-debug"; - version = "0.4.7"; - sha256 = "1p3ihky5vznjv66f1mbpj33ahkg8g0xgfknldzmgllwsmh533dji"; + version = "0.4.8"; + sha256 = "1x6yjk0m1jrkcy1y6ggrmnhkdrf0kbgvdry6p5i7f4bvfj432qvl"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ @@ -238598,8 +238425,8 @@ self: { }: mkDerivation { pname = "too-many-cells"; - version = "0.2.1.0"; - sha256 = "06sp0c0db4xnik2c361q1g5x8alcfjz9fppxwzz8dbagqbdi110a"; + version = "0.2.2.0"; + sha256 = "02xf5xadicgvhws21901qsn5a5v3hb77wjfy9x0q16zxbif74hvm"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -239220,8 +239047,8 @@ self: { }: mkDerivation { pname = "trackit"; - version = "0.6.2"; - sha256 = "13xydhv9aaw0yk2wa0r2izwn98b0vgsx4vr2r8zpg0qxlhhriidv"; + version = "0.6.3"; + sha256 = "0bjsvz1kc6i2zpzdcjrrncqs3rpl7rfp961njhihymazffhsx3l2"; isLibrary = false; isExecutable = true; executableHaskellDepends = [ @@ -242403,8 +242230,8 @@ self: { ({ mkDerivation, base, doctest, Glob }: mkDerivation { pname = "type-errors-pretty"; - version = "0.0.0.0"; - sha256 = "0yj8c91maanihyl9506ihpxv3zyfvprr359dvd2b9ym3py50kncs"; + version = "0.0.1.0"; + sha256 = "1xp72vsmqamgg9k8w7pimy6q3dn73ammg7zda98a0hb4q9pdcrml"; libraryHaskellDepends = [ base ]; testHaskellDepends = [ base doctest Glob ]; description = "Combinators for writing pretty type errors easily"; @@ -243929,6 +243756,24 @@ self: { license = stdenv.lib.licenses.bsd3; }) {}; + "unagi-chan_0_4_1_3" = callPackage + ({ mkDerivation, async, atomic-primops, base, containers, criterion + , ghc-prim, primitive + }: + mkDerivation { + pname = "unagi-chan"; + version = "0.4.1.3"; + sha256 = "15fnk9x4fd2ryp31fjfrwm8k61m3a0qyb95m4065zc0yi0jyacp2"; + libraryHaskellDepends = [ atomic-primops base ghc-prim primitive ]; + testHaskellDepends = [ + atomic-primops base containers ghc-prim primitive + ]; + benchmarkHaskellDepends = [ async base criterion ]; + description = "Fast concurrent queues with a Chan-like API, and more"; + license = stdenv.lib.licenses.bsd3; + hydraPlatforms = stdenv.lib.platforms.none; + }) {}; + "unagi-streams" = callPackage ({ mkDerivation, base, io-streams, unagi-chan }: mkDerivation { @@ -245181,8 +245026,6 @@ self: { ]; description = "Custom prelude used in Serokell"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "universum_1_6_0" = callPackage @@ -245210,7 +245053,6 @@ self: { description = "Custom prelude used in Serokell"; license = stdenv.lib.licenses.mit; hydraPlatforms = stdenv.lib.platforms.none; - broken = true; }) {}; "unix_2_7_2_2" = callPackage @@ -246126,35 +245968,6 @@ self: { "uri-bytestring" = callPackage ({ mkDerivation, attoparsec, base, base-compat, blaze-builder , bytestring, containers, criterion, deepseq, deepseq-generics - , generics-sop, HUnit, network-uri, QuickCheck - , quickcheck-instances, semigroups, tasty, tasty-hunit - , tasty-quickcheck, template-haskell, th-lift-instances - , transformers - }: - mkDerivation { - pname = "uri-bytestring"; - version = "0.3.2.1"; - sha256 = "1z61l4l8sg8vyrf7cri0awj5pnpzly8gp7dw9j26v9pcs6z1dgb4"; - libraryHaskellDepends = [ - attoparsec base blaze-builder bytestring containers - template-haskell th-lift-instances - ]; - testHaskellDepends = [ - attoparsec base base-compat blaze-builder bytestring containers - generics-sop HUnit QuickCheck quickcheck-instances semigroups tasty - tasty-hunit tasty-quickcheck transformers - ]; - benchmarkHaskellDepends = [ - base blaze-builder bytestring criterion deepseq deepseq-generics - network-uri - ]; - description = "Haskell URI parsing as ByteStrings"; - license = stdenv.lib.licenses.bsd3; - }) {}; - - "uri-bytestring_0_3_2_2" = callPackage - ({ mkDerivation, attoparsec, base, base-compat, blaze-builder - , bytestring, containers, criterion, deepseq, deepseq-generics , hedgehog, HUnit, network-uri, safe, semigroups, tasty , tasty-hedgehog, tasty-hunit, template-haskell, th-lift-instances , transformers @@ -246178,7 +245991,6 @@ self: { ]; description = "Haskell URI parsing as ByteStrings"; license = stdenv.lib.licenses.bsd3; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "uri-bytestring-aeson" = callPackage @@ -249325,6 +249137,8 @@ self: { pname = "vimeta"; version = "0.2.5.1"; sha256 = "1v46b0215mcyhxma3ascg3paz683j8xqsf4czs8syx4amyls36qg"; + revision = "1"; + editedCabalFile = "1na8qghd918ck1pg058anbh9iy3w4x7hf72ygfwfy23hw00wn5j4"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ @@ -259215,18 +259029,18 @@ self: { }) {}; "yampa-test" = callPackage - ({ mkDerivation, base, Cabal, cabal-test-quickcheck - , normaldistribution, QuickCheck, random, Yampa + ({ mkDerivation, base, Cabal, normaldistribution, QuickCheck + , random, tasty, tasty-quickcheck, Yampa }: mkDerivation { pname = "yampa-test"; - version = "0.1.1"; - sha256 = "1qc1aic4apml5akq056i5c460x12hf613r1zkisshjm0na4gx5mb"; + version = "0.2"; + sha256 = "030dakxny9nh0spq04vbxs961y12i2xbr9g9g3q7lk78mhshwv5v"; libraryHaskellDepends = [ base normaldistribution QuickCheck Yampa ]; testHaskellDepends = [ - base Cabal cabal-test-quickcheck QuickCheck random Yampa + base Cabal QuickCheck random tasty tasty-quickcheck Yampa ]; description = "Testing library for Yampa"; license = stdenv.lib.licenses.bsd3; @@ -259500,6 +259314,43 @@ self: { broken = true; }) {}; + "yeamer" = callPackage + ({ mkDerivation, aeson, base, base64-bytestring, blaze-html + , bytestring, containers, data-default-class, diagrams-cairo + , diagrams-lib, directory, dumb-cas, filepath, flat, HaTeX + , js-jquery, microlens, microlens-th, numbered-semigroups + , semigroups, shakespeare, tasty, tasty-hunit, tasty-quickcheck + , template-haskell, temporary, TeX-my-math, texmath, text, these + , these-lens, time, transformers, unix, vector, xml, yesod + , yesod-form, yesod-static, zlib + }: + mkDerivation { + pname = "yeamer"; + version = "0.1.0.3"; + sha256 = "02v33ya0nc0qvsnc5dz33kargyprzxjhbrcdfi439psxh43rw9fp"; + isLibrary = true; + isExecutable = true; + libraryHaskellDepends = [ + aeson base base64-bytestring blaze-html bytestring containers + data-default-class directory dumb-cas filepath flat HaTeX js-jquery + microlens microlens-th numbered-semigroups semigroups shakespeare + template-haskell temporary TeX-my-math texmath text these + these-lens transformers unix vector xml yesod yesod-form + yesod-static zlib + ]; + executableHaskellDepends = [ + base diagrams-cairo diagrams-lib flat numbered-semigroups + semigroups shakespeare TeX-my-math time + ]; + testHaskellDepends = [ + aeson base numbered-semigroups tasty tasty-hunit tasty-quickcheck + ]; + description = "Yesod-based server for interactive presentation slides"; + license = stdenv.lib.licenses.gpl3; + hydraPlatforms = stdenv.lib.platforms.none; + broken = true; + }) {}; + "yeganesh" = callPackage ({ mkDerivation, base, containers, directory, filepath, process , strict, time, unix, xdg-basedir @@ -261658,31 +261509,6 @@ self: { "yesod-test" = callPackage ({ mkDerivation, attoparsec, base, blaze-builder, blaze-html , bytestring, case-insensitive, conduit, containers, cookie, hspec - , hspec-core, html-conduit, http-types, HUnit, network, pretty-show - , semigroups, text, time, transformers, unliftio, wai, wai-extra - , xml-conduit, xml-types, yesod-core, yesod-form - }: - mkDerivation { - pname = "yesod-test"; - version = "1.6.6.2"; - sha256 = "1c5q11f2wscapl6a16v1r5livjiy8i2iz5zvlcsx89ikrqgvfc58"; - libraryHaskellDepends = [ - attoparsec base blaze-builder blaze-html bytestring - case-insensitive conduit containers cookie hspec-core html-conduit - http-types HUnit network pretty-show semigroups text time - transformers wai wai-extra xml-conduit xml-types yesod-core - ]; - testHaskellDepends = [ - base bytestring containers cookie hspec html-conduit http-types - HUnit text unliftio wai wai-extra xml-conduit yesod-core yesod-form - ]; - description = "integration testing for WAI/Yesod Applications"; - license = stdenv.lib.licenses.mit; - }) {}; - - "yesod-test_1_6_7" = callPackage - ({ mkDerivation, attoparsec, base, blaze-builder, blaze-html - , bytestring, case-insensitive, conduit, containers, cookie, hspec , hspec-core, html-conduit, http-types, HUnit, memory, network , pretty-show, semigroups, text, time, transformers, unliftio, wai , wai-extra, xml-conduit, xml-types, yesod-core, yesod-form @@ -261703,7 +261529,6 @@ self: { ]; description = "integration testing for WAI/Yesod Applications"; license = stdenv.lib.licenses.mit; - hydraPlatforms = stdenv.lib.platforms.none; }) {}; "yesod-test-json" = callPackage @@ -263245,8 +263070,8 @@ self: { }: mkDerivation { pname = "zeromq4-simple"; - version = "0.0.0.1"; - sha256 = "0h16chz3x0ipdbw935fvfhpdljbknczk1ivf87519dkrl3c1ypjv"; + version = "0.0.0.2"; + sha256 = "1xk131mia8k6zcl4995cdx15aj74jyrxziah0xmqd0yjnrh4kw8n"; libraryHaskellDepends = [ aeson base bytestring constraints hashable uuid zeromq4-haskell ]; @@ -264145,6 +263970,8 @@ self: { pname = "zxcvbn-dvorak"; version = "0.1.0.0"; sha256 = "07sz5vwgh7vxlr0z2v7bml8j2gy0l2pl62frgl8r211dzjmd7q8m"; + revision = "1"; + editedCabalFile = "19m6h3cal4wc13hh382526bj0z8pdvs7nck0im2cawxh5wna7cq7"; libraryHaskellDepends = [ base base64-bytestring binary binary-instances containers lens text unordered-containers zlib zxcvbn-hs @@ -264163,6 +263990,8 @@ self: { pname = "zxcvbn-hs"; version = "0.2.1.0"; sha256 = "1gvarz005nlz9q5bkdwvplvsmwwchhx0arpq1grmh39r706lh4d8"; + revision = "2"; + editedCabalFile = "05l4pni4264rcivixzakjkph5qr4jr8qb4jbfj2nw106n1zhjaka"; isLibrary = true; isExecutable = true; libraryHaskellDepends = [ diff --git a/nixpkgs/pkgs/development/haskell-modules/make-package-set.nix b/nixpkgs/pkgs/development/haskell-modules/make-package-set.nix index cd9a101d3c5..ba477e06e2f 100644 --- a/nixpkgs/pkgs/development/haskell-modules/make-package-set.nix +++ b/nixpkgs/pkgs/development/haskell-modules/make-package-set.nix @@ -133,7 +133,7 @@ let installPhase = '' export HOME="$TMP" mkdir -p "$out" - cabal2nix --compiler=${self.ghc.haskellCompilerName} --system=${hostPlatform.system} ${sha256Arg} "${src}" ${extraCabal2nixOptions} > "$out/default.nix" + cabal2nix --compiler=${self.ghc.haskellCompilerName} --system=${hostPlatform.config} ${sha256Arg} "${src}" ${extraCabal2nixOptions} > "$out/default.nix" ''; }; diff --git a/nixpkgs/pkgs/development/interpreters/elixir/1.9.nix b/nixpkgs/pkgs/development/interpreters/elixir/1.9.nix index b9b2400b78b..2d9fec02e10 100644 --- a/nixpkgs/pkgs/development/interpreters/elixir/1.9.nix +++ b/nixpkgs/pkgs/development/interpreters/elixir/1.9.nix @@ -1,7 +1,7 @@ { mkDerivation }: mkDerivation { - version = "1.9.1"; - sha256 = "106s2a3dykc5iwfrd5icqd737yfzaz1dw4x5v1j5z2fvf46h96dx"; + version = "1.9.2"; + sha256 = "19yn6nx6r627f5zbyc7ckgr96d6b45sgwx95n2gp2imqwqvpj8wc"; minimumOTPVersion = "20"; } diff --git a/nixpkgs/pkgs/development/interpreters/metamath/default.nix b/nixpkgs/pkgs/development/interpreters/metamath/default.nix index 686cfee19a6..3de21191512 100644 --- a/nixpkgs/pkgs/development/interpreters/metamath/default.nix +++ b/nixpkgs/pkgs/development/interpreters/metamath/default.nix @@ -2,21 +2,23 @@ stdenv.mkDerivation { pname = "metamath"; - version = "0.172"; + version = "0.178"; buildInputs = [ autoreconfHook ]; - # This points to my own repository because there is no official repository - # for metamath; there's a download location but it gets updated in place with - # no permanent link. See discussion at - # https://groups.google.com/forum/#!topic/metamath/N4WEWQQVUfY src = fetchFromGitHub { - owner = "Taneb"; - repo = "metamath"; - rev = "43141cd17638f8efb409dc5d46e7de6a6c39ec42"; - sha256 = "07c7df0zl0wsb0pvdgkwikpr8kz7fi3mshxzk61vkamyp68djjb5"; + owner = "metamath"; + repo = "metamath-exe"; + rev = "4f59d60aeb03f92aea3cc7ecf5a2c0fcf08900a5"; + sha256 = "0nrl4nzp6rm2sn365xyjf3g5l5fl58kca7rq08lqyz5gla0wgfcf"; }; + # the files necessary to build the DATA target are not in this distribution + # luckily, they're not really needed so we don't build it. + makeFlags = [ "DATA=" ]; + + installTargets = "install-exec"; + meta = with stdenv.lib; { description = "Interpreter for the metamath proof language"; longDescription = '' diff --git a/nixpkgs/pkgs/development/interpreters/python/cpython/default.nix b/nixpkgs/pkgs/development/interpreters/python/cpython/default.nix index 32aa355d3aa..09c324716bd 100644 --- a/nixpkgs/pkgs/development/interpreters/python/cpython/default.nix +++ b/nixpkgs/pkgs/development/interpreters/python/cpython/default.nix @@ -100,7 +100,7 @@ in with passthru; stdenv.mkDerivation { ] ++ optionals isPy35 [ # Backports support for LD_LIBRARY_PATH from 3.6 ./3.5/ld_library_path.patch - ] ++ optionals isPy37 [ + ] ++ optionals (isPy37 || isPy38) [ # Fix darwin build https://bugs.python.org/issue34027 (fetchpatch { url = https://bugs.python.org/file47666/darwin-libutil.patch; @@ -114,7 +114,7 @@ in with passthru; stdenv.mkDerivation { ( if isPy35 then ./3.5/python-3.x-distutils-C++.patch - else if isPy37 then + else if isPy37 || isPy38 then ./3.7/python-3.x-distutils-C++.patch else fetchpatch { diff --git a/nixpkgs/pkgs/development/interpreters/python/default.nix b/nixpkgs/pkgs/development/interpreters/python/default.nix index 86616cc8890..43a3125d8a0 100644 --- a/nixpkgs/pkgs/development/interpreters/python/default.nix +++ b/nixpkgs/pkgs/development/interpreters/python/default.nix @@ -28,6 +28,7 @@ with pkgs; isPy35 = pythonVersion == "3.5"; isPy36 = pythonVersion == "3.6"; isPy37 = pythonVersion == "3.7"; + isPy38 = pythonVersion == "3.8"; isPy2 = lib.strings.substring 0 1 pythonVersion == "2"; isPy3 = lib.strings.substring 0 1 pythonVersion == "3"; isPy3k = isPy3; @@ -104,9 +105,9 @@ in { major = "3"; minor = "8"; patch = "0"; - suffix = "rc1"; + suffix = ""; }; - sha256 = "08d8j3dsv6yz5zxkqvsa71scxjjzdwarfk6hsjfhaw2xrxndyi5f"; + sha256 = "110d0did9rxn7rg85kf2fwli5hqq44xv2d8bi7d92m7v2d728mmk"; inherit (darwin) CF configd; inherit passthruFun; }; diff --git a/nixpkgs/pkgs/development/interpreters/python/hooks/setuptools-build-hook.sh b/nixpkgs/pkgs/development/interpreters/python/hooks/setuptools-build-hook.sh index db3e4225d29..c99ef313c10 100644 --- a/nixpkgs/pkgs/development/interpreters/python/hooks/setuptools-build-hook.sh +++ b/nixpkgs/pkgs/development/interpreters/python/hooks/setuptools-build-hook.sh @@ -27,9 +27,9 @@ setuptoolsShellHook() { if test -e setup.py; then tmp_path=$(mktemp -d) export PATH="$tmp_path/bin:$PATH" - export PYTHONPATH="@pythonSitePackages@:$PYTHONPATH" + export PYTHONPATH="$tmp_path/@pythonSitePackages@:$PYTHONPATH" mkdir -p "$tmp_path/@pythonSitePackages@" - eval "@pythonInterpreter@ -m pip -e . --prefix $tmp_path >&2" + eval "@pythonInterpreter@ -m pip install -e . --prefix $tmp_path >&2" fi runHook postShellHook diff --git a/nixpkgs/pkgs/development/interpreters/renpy/default.nix b/nixpkgs/pkgs/development/interpreters/renpy/default.nix index 30ac6d2bd5b..846bba9e7e7 100644 --- a/nixpkgs/pkgs/development/interpreters/renpy/default.nix +++ b/nixpkgs/pkgs/development/interpreters/renpy/default.nix @@ -7,7 +7,7 @@ with pythonPackages; stdenv.mkDerivation rec { pname = "renpy"; - version = "7.3.2"; + version = "7.3.3"; meta = with stdenv.lib; { description = "Ren'Py Visual Novel Engine"; @@ -18,7 +18,7 @@ stdenv.mkDerivation rec { src = fetchurl { url = "https://www.renpy.org/dl/${version}/renpy-${version}-source.tar.bz2"; - sha256 = "1i7s9s8invsm5bavw2jlk965pb5h5vgwyk1nhw0z1d22spmj4a4m"; + sha256 = "0wwsm0vg6zd07xmkqrqprymahdl4ifg7bc1lpbrh0qlfs1pvjlss"; }; patches = [ diff --git a/nixpkgs/pkgs/development/libraries/SDL/default.nix b/nixpkgs/pkgs/development/libraries/SDL/default.nix index 610f1b768f2..61609a90dd0 100644 --- a/nixpkgs/pkgs/development/libraries/SDL/default.nix +++ b/nixpkgs/pkgs/development/libraries/SDL/default.nix @@ -13,6 +13,16 @@ with stdenv.lib; +let + extraPropagatedBuildInputs = [ ] + ++ optionals x11Support [ libXext libICE libXrandr ] + ++ optionals openglSupport [ libGL libGLU ] + ++ optional alsaSupport alsaLib + ++ optional pulseaudioSupport libpulseaudio + ++ optional stdenv.isDarwin Cocoa; + rpath = makeLibraryPath extraPropagatedBuildInputs; +in + stdenv.mkDerivation rec { pname = "SDL"; version = "1.2.15"; @@ -31,12 +41,7 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ pkgconfig ] ++ optional stdenv.isLinux libcap; - propagatedBuildInputs = [ libiconv ] - ++ optionals x11Support [ libXext libICE libXrandr ] - ++ optionals openglSupport [ libGL libGLU ] - ++ optional alsaSupport alsaLib - ++ optional pulseaudioSupport libpulseaudio - ++ optional stdenv.isDarwin Cocoa; + propagatedBuildInputs = [ libiconv ] ++ extraPropagatedBuildInputs; buildInputs = [ ] ++ optional (!stdenv.hostPlatform.isMinGW && alsaSupport) audiofile @@ -108,7 +113,7 @@ stdenv.mkDerivation rec { postFixup = '' for lib in $out/lib/*.so* ; do if [[ -L "$lib" ]]; then - patchelf --set-rpath "$(patchelf --print-rpath $lib):${makeLibraryPath propagatedBuildInputs}" "$lib" + patchelf --set-rpath "$(patchelf --print-rpath $lib):${rpath}" "$lib" fi done ''; diff --git a/nixpkgs/pkgs/development/libraries/accounts-qt/default.nix b/nixpkgs/pkgs/development/libraries/accounts-qt/default.nix index 0aace12569a..bae7b56cb8e 100644 --- a/nixpkgs/pkgs/development/libraries/accounts-qt/default.nix +++ b/nixpkgs/pkgs/development/libraries/accounts-qt/default.nix @@ -2,10 +2,10 @@ stdenv.mkDerivation rec { pname = "accounts-qt"; - version = "1.15"; + version = "1.16"; src = fetchFromGitLab { - sha256 = "0cnra7g2mcgzh8ykrj1dpb4khkx676pzdr4ia1bvsp0cli48691w"; + sha256 = "1vmpjvysm0ld8dqnx8msa15hlhrkny02cqycsh4k2azrnijg0xjz"; rev = "VERSION_${version}"; repo = "libaccounts-qt"; owner = "accounts-sso"; diff --git a/nixpkgs/pkgs/development/libraries/accountsservice/default.nix b/nixpkgs/pkgs/development/libraries/accountsservice/default.nix index 860ac3fde41..11ac842342b 100644 --- a/nixpkgs/pkgs/development/libraries/accountsservice/default.nix +++ b/nixpkgs/pkgs/development/libraries/accountsservice/default.nix @@ -70,6 +70,11 @@ stdenv.mkDerivation rec { url = "https://gitlab.freedesktop.org/accountsservice/accountsservice/commit/0e712e935abd26499ff5995ab363e5bfd9ee7c4c.patch"; sha256 = "1y60a5fmgfqjzprwpizilrazqn3mggdlgc5sgcpsprsp62fv78rl"; }) + # Don't use etc/dbus-1/system.d + (fetchpatch { + url = "https://gitlab.freedesktop.org/accountsservice/accountsservice/commit/ced73d0fcbd2a54085a660d260482fc70d79bd5c.patch"; + sha256 = "0s7fknfgxl8hnf6givmhfg4586fjb2n64i9arh1w7xnq7x9x8d4c"; + }) ]; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/libraries/arrayfire/default.nix b/nixpkgs/pkgs/development/libraries/arrayfire/default.nix new file mode 100644 index 00000000000..17f43a9ddfa --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/arrayfire/default.nix @@ -0,0 +1,86 @@ +{ stdenv, fetchurl, fetchFromGitHub, cmake, pkgconfig +, cudatoolkit, opencl-clhpp, ocl-icd, fftw, fftwFloat, mkl +, blas, openblas, boost, mesa, libGLU_combined +, freeimage, python +}: + +let + version = "3.6.4"; + + clfftSource = fetchFromGitHub { + owner = "arrayfire"; + repo = "clFFT"; + rev = "16925fb93338b3cac66490b5cf764953d6a5dac7"; + sha256 = "0y35nrdz7w4n1l17myhkni3hwm37z775xn6f76xmf1ph7dbkslsc"; + fetchSubmodules = true; + }; + + clblasSource = fetchFromGitHub { + owner = "arrayfire"; + repo = "clBLAS"; + rev = "1f3de2ae5582972f665c685b18ef0df43c1792bb"; + sha256 = "154mz52r5hm0jrp5fqrirzzbki14c1jkacj75flplnykbl36ibjs"; + fetchSubmodules = true; + }; + + cl2hppSource = fetchurl { + url = "https://github.com/KhronosGroup/OpenCL-CLHPP/releases/download/v2.0.10/cl2.hpp"; + sha256 = "1v4q0g6b6mwwsi0kn7kbjn749j3qafb9r4ld3zdq1163ln9cwnvw"; + }; + +in stdenv.mkDerivation { + pname = "arrayfire"; + inherit version; + + src = fetchurl { + url = "http://arrayfire.com/arrayfire_source/arrayfire-full-${version}.tar.bz2"; + sha256 = "1fin7a9rliyqic3z83agkpb8zlq663q6gdxsnm156cs8s7f7rc9h"; + }; + + cmakeFlags = [ + "-DAF_BUILD_OPENCL=OFF" + "-DAF_BUILD_EXAMPLES=OFF" + "-DBUILD_TESTING=OFF" + "-DCMAKE_LIBRARY_PATH=${cudatoolkit}/lib/stubs" + ]; + + patches = [ ./no-download.patch ]; + + postPatch = '' + mkdir -p ./build/third_party/clFFT/src + cp -R --no-preserve=mode,ownership ${clfftSource}/ ./build/third_party/clFFT/src/clFFT-ext/ + mkdir -p ./build/third_party/clBLAS/src + cp -R --no-preserve=mode,ownership ${clblasSource}/ ./build/third_party/clBLAS/src/clBLAS-ext/ + mkdir -p ./build/include/CL + cp -R --no-preserve=mode,ownership ${cl2hppSource} ./build/include/CL/cl2.hpp + ''; + + preBuild = '' + export CUDA_PATH="${cudatoolkit}" + ''; + + enableParallelBuilding = true; + + nativeBuildInputs = [ + cmake + pkgconfig + ]; + + buildInputs = [ + opencl-clhpp fftw fftwFloat + mkl + openblas + libGLU_combined + mesa freeimage + boost.out boost.dev python + ] ++ (stdenv.lib.optional stdenv.isLinux [ cudatoolkit ocl-icd ]); + + meta = with stdenv.lib; { + description = "A general-purpose library that simplifies the process of developing software that targets parallel and massively-parallel architectures including CPUs, GPUs, and other hardware acceleration devices"; + license = licenses.bsd3; + homepage = https://arrayfire.com/ ; + platforms = platforms.linux ++ platforms.darwin; + maintainers = with stdenv.lib.maintainers; [ chessai ]; + inherit version; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/arrayfire/no-download.patch b/nixpkgs/pkgs/development/libraries/arrayfire/no-download.patch new file mode 100644 index 00000000000..2b3ac492a54 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/arrayfire/no-download.patch @@ -0,0 +1,28 @@ +diff --git a/CMakeModules/build_clBLAS.cmake b/CMakeModules/build_clBLAS.cmake +index 8de529e8..6361b613 100644 +--- a/CMakeModules/build_clBLAS.cmake ++++ b/CMakeModules/build_clBLAS.cmake +@@ -14,8 +14,7 @@ find_package(OpenCL) + + ExternalProject_Add( + clBLAS-ext +- GIT_REPOSITORY https://github.com/arrayfire/clBLAS.git +- GIT_TAG arrayfire-release ++ DOWNLOAD_COMMAND true + BUILD_BYPRODUCTS ${clBLAS_location} + PREFIX "${prefix}" + INSTALL_DIR "${prefix}" +diff --git a/CMakeModules/build_clFFT.cmake b/CMakeModules/build_clFFT.cmake +index 28be38a3..85e3915e 100644 +--- a/CMakeModules/build_clFFT.cmake ++++ b/CMakeModules/build_clFFT.cmake +@@ -20,8 +20,7 @@ ENDIF() + + ExternalProject_Add( + clFFT-ext +- GIT_REPOSITORY https://github.com/arrayfire/clFFT.git +- GIT_TAG arrayfire-release ++ DOWNLOAD_COMMAND true + PREFIX "${prefix}" + INSTALL_DIR "${prefix}" + UPDATE_COMMAND "" diff --git a/nixpkgs/pkgs/development/libraries/aspell/dictionaries.nix b/nixpkgs/pkgs/development/libraries/aspell/dictionaries.nix index fa9a45f1ed3..05a1c95c81f 100644 --- a/nixpkgs/pkgs/development/libraries/aspell/dictionaries.nix +++ b/nixpkgs/pkgs/development/libraries/aspell/dictionaries.nix @@ -298,10 +298,10 @@ in rec { en = buildOfficialDict { language = "en"; - version = "2018.04.16-0"; + version = "2019.10.06-0"; fullName = "English"; filename = "aspell6"; - sha256 = "0bxxdzkk9g27plg22y9qzsx9cfjw3aa29w5bmzs561qc9gkp247i"; + sha256 = "1zai9wrqwgb9z9vfgb22qhrvxvg73jg0ix44j1khm2f6m96lncr4"; }; eo = buildOfficialDict { @@ -919,12 +919,12 @@ in rec { src1 = fetchurl { url = http://jpetrie.net/wp-content/uploads/custom_scientific_US.txt; - sha256 = "1psqm094zl4prk2f8h18jv0d471hxykzd1zdnrlx7gzrzy6pz5r3"; + sha256 = "1nf4py2mg0mlv9s5a7had2wn29z2v6bcca0fs6rbpdn4nqc4s7dm"; }; src2 = fetchurl { url = http://jpetrie.net/wp-content/uploads/custom_scientific_UK.txt; - sha256 = "17ss1sdr3k70zbyx2z9xf74345slrp41gbkpih8axrmg4x92fgm1"; + sha256 = "0f88il1ds6qazy1ghxviqcwsywrbf6pzl2lmzf4f3qvhdfb6f1y0"; }; langInputs = [ en ]; diff --git a/nixpkgs/pkgs/development/libraries/assimp/default.nix b/nixpkgs/pkgs/development/libraries/assimp/default.nix index d5a98b0ce92..b9207e51706 100644 --- a/nixpkgs/pkgs/development/libraries/assimp/default.nix +++ b/nixpkgs/pkgs/development/libraries/assimp/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "assimp"; - version = "4.1.0"; + version = "5.0.0"; src = fetchFromGitHub{ owner = "assimp"; repo = "assimp"; rev = "v${version}"; - sha256 = "00g61g3ixmfszzjncpvm8x7gp2livaj4lmhbycjmrw4x3gfqlc4r"; + sha256 = "17y5q5hbygmb0cgf96gd3v8sal5g69cp8hmh1cs3yz7v00kjysmz"; }; buildInputs = [ cmake boost zlib ]; diff --git a/nixpkgs/pkgs/development/libraries/avahi/default.nix b/nixpkgs/pkgs/development/libraries/avahi/default.nix index ee451818831..babfd6849a8 100644 --- a/nixpkgs/pkgs/development/libraries/avahi/default.nix +++ b/nixpkgs/pkgs/development/libraries/avahi/default.nix @@ -39,7 +39,7 @@ stdenv.mkDerivation rec { configureFlags = [ "--disable-qt3" "--disable-gdbm" "--disable-mono" - "--disable-gtk" + "--disable-gtk" "--with-dbus-sys=${placeholder "out"}/share/dbus-1/system.d" (stdenv.lib.enableFeature gtk3Support "gtk3") "--${if qt4Support then "enable" else "disable"}-qt4" (stdenv.lib.enableFeature withPython "python") diff --git a/nixpkgs/pkgs/development/libraries/cereal/default.nix b/nixpkgs/pkgs/development/libraries/cereal/default.nix new file mode 100644 index 00000000000..7802be9c4f0 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/cereal/default.nix @@ -0,0 +1,23 @@ +{ stdenv, fetchFromGitHub, cmake }: +stdenv.mkDerivation rec { + pname = "cereal"; + version = "1.2.2"; + + nativeBuildInputs = [ cmake ]; + + src = fetchFromGitHub { + owner = "USCiLab"; + repo = "cereal"; + rev = "v${version}"; + sha256 = "1ckr8r03ggg5pyzg8yw40d5ssq40h5najvyqlnxc85fxxp8rnrx4"; + }; + + cmakeFlagsArray = [ "-DJUST_INSTALL_CEREAL=yes" ]; + + meta = with stdenv.lib; { + description = "A header-only C++11 serialization library"; + homepage = https://uscilab.github.io/cereal/; + platforms = platforms.all; + license = licenses.mit; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/chromaprint/default.nix b/nixpkgs/pkgs/development/libraries/chromaprint/default.nix index fd868884f98..6ad6a09ec14 100644 --- a/nixpkgs/pkgs/development/libraries/chromaprint/default.nix +++ b/nixpkgs/pkgs/development/libraries/chromaprint/default.nix @@ -2,18 +2,18 @@ stdenv.mkDerivation rec { pname = "chromaprint"; - version = "1.3.2"; + version = "1.4.3"; src = fetchurl { - url = "https://bitbucket.org/acoustid/chromaprint/downloads/${pname}-${version}.tar.gz"; - sha256 = "0lln8dh33gslb9cbmd1hcv33pr6jxdwipd8m8gbsyhksiq6r1by3"; + url = "https://github.com/acoustid/chromaprint/releases/download/v${version}/${pname}-${version}.tar.gz"; + sha256 = "10kz8lncal4s2rp2rqpgc6xyjp0jzcrihgkx7chf127vfs5n067a"; }; nativeBuildInputs = [ cmake ]; buildInputs = [ boost ffmpeg ]; - cmakeFlags = [ "-DBUILD_EXAMPLES=ON" ]; + cmakeFlags = [ "-DBUILD_EXAMPLES=ON" "-DBUILD_TOOLS=ON" ]; meta = with stdenv.lib; { homepage = https://acoustid.org/chromaprint; diff --git a/nixpkgs/pkgs/development/libraries/dqlite/default.nix b/nixpkgs/pkgs/development/libraries/dqlite/default.nix index 093abe085f0..84b4b1bab77 100644 --- a/nixpkgs/pkgs/development/libraries/dqlite/default.nix +++ b/nixpkgs/pkgs/development/libraries/dqlite/default.nix @@ -1,24 +1,36 @@ -{ stdenv, fetchFromGitHub, autoreconfHook, pkgconfig, libuv, sqlite-replication }: +{ stdenv, fetchFromGitHub, autoreconfHook, pkgconfig, file, libco-canonical +, libuv, raft-canonical, sqlite-replication }: with stdenv.lib; stdenv.mkDerivation rec { pname = "dqlite"; - version = "0.2.6"; + version = "1.0.0"; src = fetchFromGitHub { - owner = "CanonicalLtd"; + owner = "canonical"; repo = pname; rev = "v${version}"; - sha256 = "13l7na5858v2ah1vim6lafmzajgkymfi5rd6bk14cm4vcnxc40wb"; + sha256 = "0670c1c84lcf5vl3h6mlff00fz2fnm766bzlk526sjjzysx3zjya"; }; - nativeBuildInputs = [ autoreconfHook pkgconfig ]; + nativeBuildInputs = [ autoreconfHook file pkgconfig ]; + buildInputs = [ libco-canonical.dev libuv raft-canonical.dev + sqlite-replication ]; - buildInputs = [ libuv sqlite-replication ]; + preConfigure= '' + substituteInPlace configure --replace /usr/bin/ " " + ''; + + doCheck = true; + + outputs = [ "dev" "out" ]; meta = { - description = "Expose a SQLite database over the network and replicate it across a cluster of peers"; + description = '' + Expose a SQLite database over the network and replicate it across a + cluster of peers + ''; homepage = https://github.com/CanonicalLtd/dqlite/; license = licenses.asl20; maintainers = with maintainers; [ joko ]; diff --git a/nixpkgs/pkgs/development/libraries/ffmpeg/generic.nix b/nixpkgs/pkgs/development/libraries/ffmpeg/generic.nix index 4c0ca0210cc..b3310e44ae0 100644 --- a/nixpkgs/pkgs/development/libraries/ffmpeg/generic.nix +++ b/nixpkgs/pkgs/development/libraries/ffmpeg/generic.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchurl, pkgconfig, perl, texinfo, yasm +{ stdenv, fetchurl, pkgconfig, addOpenGLRunpath, perl, texinfo, yasm , alsaLib, bzip2, fontconfig, freetype, gnutls, libiconv, lame, libass, libogg , libssh, libtheora, libva, libdrm, libvorbis, libvpx, lzma, libpulseaudio, soxr , x264, x265, xvidcore, zlib, libopus, speex, nv-codec-headers, dav1d @@ -42,7 +42,7 @@ let inherit (stdenv) isDarwin isFreeBSD isLinux isAarch32; - inherit (stdenv.lib) optional optionals enableFeature; + inherit (stdenv.lib) optional optionals optionalString enableFeature; cmpVer = builtins.compareVersions; reqMin = requiredVersion: (cmpVer requiredVersion branch != 1); @@ -157,7 +157,7 @@ stdenv.mkDerivation rec { "--enable-cross-compile" ] ++ optional stdenv.cc.isClang "--cc=clang"; - nativeBuildInputs = [ perl pkgconfig texinfo yasm ]; + nativeBuildInputs = [ addOpenGLRunpath perl pkgconfig texinfo yasm ]; buildInputs = [ bzip2 fontconfig freetype gnutls libiconv lame libass libogg libssh libtheora @@ -186,6 +186,10 @@ stdenv.mkDerivation rec { substituteInPlace $pc \ --replace "includedir=$out" "includedir=''${!outputInclude}" done + '' + optionalString stdenv.isLinux '' + # Set RUNPATH so that libnvcuvid in /run/opengl-driver(-32)/lib can be found. + # See the explanation in addOpenGLRunpath. + addOpenGLRunpath $out/lib/libavcodec.so* ''; installFlags = [ "install-man" ]; diff --git a/nixpkgs/pkgs/development/libraries/flatpak/default.nix b/nixpkgs/pkgs/development/libraries/flatpak/default.nix index d29be4cb930..edb3c27ebf5 100644 --- a/nixpkgs/pkgs/development/libraries/flatpak/default.nix +++ b/nixpkgs/pkgs/development/libraries/flatpak/default.nix @@ -61,6 +61,7 @@ stdenv.mkDerivation rec { configureFlags = [ "--with-system-bubblewrap=${bubblewrap}/bin/bwrap" "--with-system-dbus-proxy=${xdg-dbus-proxy}/bin/xdg-dbus-proxy" + "--with-dbus-config-dir=${placeholder "out"}/share/dbus-1/system.d" "--localstatedir=/var" "--enable-installed-tests" ]; diff --git a/nixpkgs/pkgs/development/libraries/geoclue/default.nix b/nixpkgs/pkgs/development/libraries/geoclue/default.nix index cc2037791e0..d597ed41072 100644 --- a/nixpkgs/pkgs/development/libraries/geoclue/default.nix +++ b/nixpkgs/pkgs/development/libraries/geoclue/default.nix @@ -43,6 +43,7 @@ stdenv.mkDerivation rec { "--sysconfdir=/etc" "-Dsysconfdir_install=${placeholder "out"}/etc" "-Ddbus-srv-user=geoclue" + "-Ddbus-sys-dir=${placeholder "out"}/share/dbus-1/system.d" ] ++ optionals stdenv.isDarwin [ "-D3g-source=false" "-Dcdma-source=false" diff --git a/nixpkgs/pkgs/development/libraries/gnome-online-accounts/default.nix b/nixpkgs/pkgs/development/libraries/gnome-online-accounts/default.nix index f97a49e26b8..82847853588 100644 --- a/nixpkgs/pkgs/development/libraries/gnome-online-accounts/default.nix +++ b/nixpkgs/pkgs/development/libraries/gnome-online-accounts/default.nix @@ -1,6 +1,6 @@ { stdenv, fetchurl, pkgconfig, vala, glib, libxslt, gtk3, wrapGAppsHook , webkitgtk, json-glib, librest, libsecret, gtk-doc, gobject-introspection -, gettext, icu, glib-networking, hicolor-icon-theme +, gettext, icu, glib-networking , libsoup, docbook_xsl, docbook_xml_dtd_412, gnome3, gcr, kerberos }: @@ -31,7 +31,6 @@ in stdenv.mkDerivation rec { nativeBuildInputs = [ pkgconfig gobject-introspection vala gettext wrapGAppsHook libxslt docbook_xsl docbook_xml_dtd_412 gtk-doc - hicolor-icon-theme # for setup-hook ]; buildInputs = [ glib gtk3 webkitgtk json-glib librest libsecret glib-networking icu libsoup diff --git a/nixpkgs/pkgs/development/libraries/google-cloud-cpp/default.nix b/nixpkgs/pkgs/development/libraries/google-cloud-cpp/default.nix index 7dd075e440e..be7132dc845 100644 --- a/nixpkgs/pkgs/development/libraries/google-cloud-cpp/default.nix +++ b/nixpkgs/pkgs/development/libraries/google-cloud-cpp/default.nix @@ -1,65 +1,62 @@ -{ stdenv, grpc, curl, cmake, pkgconfig, fetchFromGitHub, doxygen, protobuf, crc32c, c-ares, nlohmann_json, fetchurl }: +{ stdenv, clang-tools, grpc, curl, cmake, pkgconfig, fetchFromGitHub, doxygen, protobuf, crc32c, c-ares, fetchurl, openssl, zlib }: let - googleapis_rev = "a8ee1416f4c588f2ab92da72e7c1f588c784d3e6"; - googleapis = fetchurl { - name = "${googleapis_rev}.tar.gz"; - url = "https://github.com/googleapis/googleapis/archive/${googleapis_rev}.tar.gz"; - sha256 = "1kxi27r034p7jfldhvgpbn6rqqqddycnja47m6jyjxj4rcmrp2kb"; + googleapis = fetchFromGitHub { + owner = "googleapis"; + repo = "googleapis"; + rev = "9c9f778aedde02f9826d2ae5d0f9c96409ba0f25"; + sha256 = "1gd3nwv8qf503wy6km0ad6akdvss9w5b1k3jqizy5gah1fkirkpi"; + }; + googleapis-cpp-cmakefiles = stdenv.mkDerivation rec { + pname = "googleapis-cpp-cmakefiles"; + version = "0.1.5"; + src = fetchFromGitHub { + owner = "googleapis"; + repo = "cpp-cmakefiles"; + rev = "v${version}"; + sha256 = "02zkcq2wl831ayd9qy009xvfx7q80pgycx7mzz9vknwd0nn6dd0n"; + }; + + nativeBuildInputs = [ cmake pkgconfig ]; + buildInputs = [ c-ares c-ares.cmake-config grpc openssl protobuf zlib ]; + + postPatch = '' + sed -e 's,https://github.com/googleapis/googleapis/archive/9c9f778aedde02f9826d2ae5d0f9c96409ba0f25.tar.gz,file://${googleapis},' \ + -i CMakeLists.txt + ''; + }; + _nlohmann_json = fetchurl { + url = "https://github.com/nlohmann/json/releases/download/v3.4.0/json.hpp"; + sha256 = "0pw3jpi572irbp2dqclmyhgic6k9rxav5mpp9ygbp9xj48gnvnk3"; }; in stdenv.mkDerivation rec { pname = "google-cloud-cpp"; - version = "0.11.0"; + version = "0.14.0"; src = fetchFromGitHub { owner = "googleapis"; repo = "google-cloud-cpp"; rev = "v${version}"; - sha256 = "1w942gzyv01ym1cv2a417x92zxra9s2v3xz5crcv84j919f616f8"; + sha256 = "15wci4m8h6py7fqfziq8mp5m6pxp2h1cbh5rp2k90mk5js4jb9pa"; }; - buildInputs = [ curl grpc protobuf nlohmann_json crc32c c-ares c-ares.cmake-config ]; - nativeBuildInputs = [ cmake pkgconfig doxygen ]; + buildInputs = [ curl crc32c c-ares c-ares.cmake-config googleapis-cpp-cmakefiles grpc protobuf ]; + nativeBuildInputs = [ clang-tools cmake pkgconfig doxygen ]; outputs = [ "out" "dev" ]; postPatch = '' - NLOHMANN_SHA256=$(sha256sum ${nlohmann_json}/include/nlohmann/json.hpp | cut -f1 -d' ') - sed -e 's,https://github.com/nlohmann/json/releases/download/.*,file://${nlohmann_json}/include/nlohmann/json.hpp"),' \ - -e "s,JSON_SHA256 .*,JSON_SHA256 ''${NLOHMANN_SHA256}," \ - -i cmake/DownloadNlohmannJson.cmake - - sed -e 's,https://github.com/googleapis/googleapis/archive/${googleapis_rev}.tar.gz,file://${googleapis},' \ - -i cmake/external/googleapis.cmake - - # Fixup the library path. It would build a path like /build/external//nix/store/…-foo/lib/foo.so for each library instead of /build/external/lib64/foo.so - sed -e 's,''${CMAKE_INSTALL_LIBDIR},lib64,g' \ - -e 's,;lib64,lib,g' \ - -i cmake/ExternalProjectHelper.cmake - ''; - - preFixup = '' - mv --no-clobber $out/lib64/cmake/* $out/lib/cmake - mv --no-clobber $out/lib64/pkgconfig/* $out/lib/pkgconfig - rmdir $out/lib64/cmake $out/lib64/pkgconfig - find $out/lib64 - - for file in $out/lib/pkgconfig/*; do - sed -e 's,\''${prefix}//,/,g' -i $file - done + sed -e 's,https://github.com/nlohmann/json/releases/download/v3.4.0/json.hpp,file://${_nlohmann_json},' \ + -i cmake/DownloadNlohmannJson.cmake ''; cmakeFlags = [ - "-DGOOGLE_CLOUD_CPP_BIGTABLE_ENABLE_INSTALL=no" - "-DGOOGLE_CLOUD_CPP_DEPENDENCY_PROVIDER=package" - "-DGOOGLE_CLOUD_CPP_GOOGLEAPIS_PROVIDER=external" "-DBUILD_SHARED_LIBS:BOOL=ON" - "-DGOOGLE_CLOUD_CPP_INSTALL_RPATH=$(out)/lib" ]; meta = with stdenv.lib; { license = with licenses; [ asl20 ]; homepage = https://github.com/googleapis/google-cloud-cpp; description = "C++ Idiomatic Clients for Google Cloud Platform services"; - maintainers = with maintainers; [ andir ]; + maintainers = with maintainers; [ andir flokli ]; }; } diff --git a/nixpkgs/pkgs/development/libraries/gtk/2.x.nix b/nixpkgs/pkgs/development/libraries/gtk/2.x.nix index f1a53390467..8bef9d92f5f 100644 --- a/nixpkgs/pkgs/development/libraries/gtk/2.x.nix +++ b/nixpkgs/pkgs/development/libraries/gtk/2.x.nix @@ -25,9 +25,12 @@ stdenv.mkDerivation rec { enableParallelBuilding = true; - setupHook = ./setup-hook.sh; + setupHooks = [ + ./gtk2-clean-immodules-cache.sh + ./drop-icon-theme-cache.sh + ]; - nativeBuildInputs = [ setupHook perl pkgconfig gettext gobject-introspection ]; + nativeBuildInputs = [ setupHooks perl pkgconfig gettext gobject-introspection ]; patches = [ ./2.0-immodules.cache.patch diff --git a/nixpkgs/pkgs/development/libraries/gtk/3.x.nix b/nixpkgs/pkgs/development/libraries/gtk/3.x.nix index 3f599a7846f..a5bbb73e97f 100644 --- a/nixpkgs/pkgs/development/libraries/gtk/3.x.nix +++ b/nixpkgs/pkgs/development/libraries/gtk/3.x.nix @@ -24,7 +24,6 @@ , libxkbcommon , gmp , gnome3 -, hicolor-icon-theme , gsettings-desktop-schemas , sassc , x11Support ? stdenv.isLinux @@ -50,7 +49,10 @@ stdenv.mkDerivation rec { outputs = [ "out" "dev" ]; outputBin = "dev"; - setupHook = ./gtk3-setup-hook.sh; + setupHooks = [ + ./gtk3-clean-immodules-cache.sh + ./drop-icon-theme-cache.sh + ]; src = fetchurl { url = "mirror://gnome/sources/gtk+/${stdenv.lib.versions.majorMinor version}/gtk+-${version}.tar.xz"; @@ -103,14 +105,13 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ gettext gobject-introspection - hicolor-icon-theme # setup-hook makeWrapper meson ninja pkgconfig python3 sassc - setupHook + setupHooks ]; buildInputs = [ diff --git a/nixpkgs/pkgs/development/libraries/gtk/drop-icon-theme-cache.sh b/nixpkgs/pkgs/development/libraries/gtk/drop-icon-theme-cache.sh new file mode 100644 index 00000000000..8f2cb8a334a --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/gtk/drop-icon-theme-cache.sh @@ -0,0 +1,19 @@ +# shellcheck shell=bash + +# Packages often run gtk-update-icon-cache to include their icons in themes’ icon cache. +# However, since each package is installed to its own prefix, the files will only collide. +dropIconThemeCache() { + if [[ -z "${dontDropIconThemeCache:-}" ]]; then + local icondir="${out:?}/share/icons" + if [[ -d "${icondir}" ]]; then + # App icons are supposed to go to hicolor theme, since it is a fallback theme as per [icon-theme-spec], but some might still choose to install stylized icons to other themes. + find "${icondir}" -name 'icon-theme.cache' -print0 \ + | while IFS= read -r -d '' file; do + echo "Removing ${file}" + rm -f "${file}" + done + fi + fi +} + +preFixupPhases="$preFixupPhases dropIconThemeCache" diff --git a/nixpkgs/pkgs/development/libraries/gtk/setup-hook.sh b/nixpkgs/pkgs/development/libraries/gtk/gtk2-clean-immodules-cache.sh index c2b0ab502db..dde991fd27c 100644 --- a/nixpkgs/pkgs/development/libraries/gtk/setup-hook.sh +++ b/nixpkgs/pkgs/development/libraries/gtk/gtk2-clean-immodules-cache.sh @@ -1,8 +1,10 @@ +# shellcheck shell=bash + fixupOutputHooks+=(_gtk2CleanComments) # Clean comments that link to generator of the file _gtk2CleanComments() { - local f="$prefix/lib/gtk-2.0/2.10.0/immodules.cache" + local f="${prefix:?}/lib/gtk-2.0/2.10.0/immodules.cache" if [ -f "$f" ]; then sed 's|Created by .*bin/gtk-query-|Created by bin/gtk-query-|' -i "$f" fi diff --git a/nixpkgs/pkgs/development/libraries/gtk/gtk3-setup-hook.sh b/nixpkgs/pkgs/development/libraries/gtk/gtk3-clean-immodules-cache.sh index bddeb2d25d5..d2d5287831a 100644 --- a/nixpkgs/pkgs/development/libraries/gtk/gtk3-setup-hook.sh +++ b/nixpkgs/pkgs/development/libraries/gtk/gtk3-clean-immodules-cache.sh @@ -1,10 +1,11 @@ +# shellcheck shell=bash + fixupOutputHooks+=(_gtk3CleanComments) # Clean comments that link to generator of the file _gtk3CleanComments() { - local f="$prefix/lib/gtk-3.0/3.0.0/immodules.cache" + local f="${prefix:?}/lib/gtk-3.0/3.0.0/immodules.cache" if [ -f "$f" ]; then sed 's|Created by .*bin/gtk-query-|Created by bin/gtk-query-|' -i "$f" fi } - diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/breeze-icons.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/breeze-icons.nix index c8382ed3408..d9192b94036 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/breeze-icons.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/breeze-icons.nix @@ -1,10 +1,14 @@ -{ mkDerivation, lib, extra-cmake-modules, gtk3, qtsvg }: +{ mkDerivation, lib, extra-cmake-modules, gtk3, qtsvg, hicolor-icon-theme }: mkDerivation { name = "breeze-icons"; meta = { maintainers = [ lib.maintainers.ttuegel ]; }; nativeBuildInputs = [ extra-cmake-modules gtk3 ]; buildInputs = [ qtsvg ]; + propagatedBuildInputs = [ + hicolor-icon-theme + ]; + dontDropIconThemeCache = true; outputs = [ "out" ]; # only runtime outputs postInstall = '' gtk-update-icon-cache "''${out:?}/share/icons/breeze" diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/extra-cmake-modules/nix-lib-path.patch b/nixpkgs/pkgs/development/libraries/kde-frameworks/extra-cmake-modules/nix-lib-path.patch index bd105d2b4a9..f019e67d3f0 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/extra-cmake-modules/nix-lib-path.patch +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/extra-cmake-modules/nix-lib-path.patch @@ -1,8 +1,8 @@ diff --git a/kde-modules/KDEInstallDirs.cmake b/kde-modules/KDEInstallDirs.cmake -index 275fd65..a04596c 100644 +index 0acd33f..c04b0a5 100644 --- a/kde-modules/KDEInstallDirs.cmake +++ b/kde-modules/KDEInstallDirs.cmake -@@ -232,34 +232,6 @@ +@@ -236,35 +236,6 @@ # GNUInstallDirs code deals with re-configuring, but that is dealt with # by the _define_* macros in this module). set(_LIBDIR_DEFAULT "lib") @@ -17,6 +17,7 @@ index 275fd65..a04596c 100644 -# See https://wiki.debian.org/Multiarch -if((CMAKE_SYSTEM_NAME MATCHES "Linux|kFreeBSD" OR CMAKE_SYSTEM_NAME STREQUAL "GNU") - AND NOT CMAKE_CROSSCOMPILING +- AND NOT EXISTS "/etc/arch-release" - AND NOT DEFINED ENV{FLATPAK_ID}) - if (EXISTS "/etc/debian_version") # is this a debian system ? - if(CMAKE_LIBRARY_ARCHITECTURE) diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/extra-cmake-modules/setup-hook.sh b/nixpkgs/pkgs/development/libraries/kde-frameworks/extra-cmake-modules/setup-hook.sh index 4df086ddbf2..35982e86628 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/extra-cmake-modules/setup-hook.sh +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/extra-cmake-modules/setup-hook.sh @@ -53,13 +53,12 @@ ecmPostHook() { } postHooks+=(ecmPostHook) -xdgDataSubdirs=( - "doc" "config.kcfg" "kconf_update" "kservices5" "kservicetypes5" \ +xdgDataSubdirs=( \ + "config.kcfg" "kconf_update" "kservices5" "kservicetypes5" \ "kxmlgui5" "knotifications5" "icons" "locale" "sounds" "templates" \ "wallpapers" "applications" "desktop-directories" "mime" "appdata" "dbus-1" \ ) - ecmHostPathSeen=( ) ecmUnseenHostPath() { @@ -104,5 +103,10 @@ ecmHostPathHook() { then qtWrapperArgs+=(--prefix INFOPATH : "$infoDir") fi + + if [ -d "$1/dbus-1" ] + then + propagatedUserEnvPkgs+=" $1" + fi } -addEnvHooks "$hostOffset" ecmHostPathHook +addEnvHooks "$targetOffset" ecmHostPathHook diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/fetch.sh b/nixpkgs/pkgs/development/libraries/kde-frameworks/fetch.sh index 096376ca671..f06a515a83b 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/fetch.sh +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/fetch.sh @@ -1 +1 @@ -WGET_ARGS=( https://download.kde.org/stable/frameworks/5.61/ ) +WGET_ARGS=( https://download.kde.org/stable/frameworks/5.62/ ) diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/kconfigwidgets/default.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/kconfigwidgets/default.nix index e06d9547de0..3d7c7cdedef 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/kconfigwidgets/default.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/kconfigwidgets/default.nix @@ -1,13 +1,13 @@ { mkDerivation, lib, extra-cmake-modules, - kauth, kcodecs, kconfig, kdoctools, kguiaddons, ki18n, kwidgetsaddons, qtbase, + kauth, kcodecs, kconfig, kdoctools, kguiaddons, ki18n, kwidgetsaddons, qttools, qtbase, }: mkDerivation { name = "kconfigwidgets"; meta = { maintainers = [ lib.maintainers.ttuegel ]; }; nativeBuildInputs = [ extra-cmake-modules kdoctools ]; - buildInputs = [ kguiaddons ki18n qtbase ]; + buildInputs = [ kguiaddons ki18n qtbase qttools ]; propagatedBuildInputs = [ kauth kcodecs kconfig kwidgetsaddons ]; patches = [ ./0001-qdiriterator-follow-symlinks.patch ]; outputs = [ "out" "dev" ]; diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/kiconthemes/default.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/kiconthemes/default.nix index 4b8e0059377..8faac005f2a 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/kiconthemes/default.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/kiconthemes/default.nix @@ -2,7 +2,7 @@ mkDerivation, lib, copyPathsToStore, extra-cmake-modules, breeze-icons, karchive, kcoreaddons, kconfigwidgets, ki18n, kitemviews, - qtbase, qtsvg, + qtbase, qtsvg, qttools, }: mkDerivation { @@ -13,5 +13,5 @@ mkDerivation { buildInputs = [ breeze-icons karchive kcoreaddons kconfigwidgets ki18n kitemviews ]; - propagatedBuildInputs = [ qtbase qtsvg ]; + propagatedBuildInputs = [ qtbase qtsvg qttools ]; } diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/kio/default.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/kio/default.nix index 281778d9d07..6cf89669df0 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/kio/default.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/kio/default.nix @@ -1,6 +1,6 @@ { mkDerivation, lib, copyPathsToStore, - extra-cmake-modules, kdoctools, + extra-cmake-modules, kdoctools, qttools, karchive, kbookmarks, kcompletion, kconfig, kconfigwidgets, kcoreaddons, kdbusaddons, ki18n, kiconthemes, kitemviews, kjobwidgets, knotifications, kservice, ktextwidgets, kwallet, kwidgetsaddons, kwindowsystem, kxmlgui, @@ -18,7 +18,7 @@ mkDerivation { ]; propagatedBuildInputs = [ kbookmarks kcompletion kconfig kcoreaddons kitemviews kjobwidgets kservice - kxmlgui qtbase solid + kxmlgui qtbase qttools solid ]; patches = (copyPathsToStore (lib.readPathsFromFile ./. ./series)); } diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/knewstuff.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/knewstuff.nix index 70f3cbb3c37..df384648e6a 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/knewstuff.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/knewstuff.nix @@ -1,9 +1,9 @@ { - mkDerivation, lib, + mkDerivation, lib, fetchpatch, extra-cmake-modules, attica, karchive, kcompletion, kconfig, kcoreaddons, ki18n, kiconthemes, kio, kitemviews, kservice, ktextwidgets, kwidgetsaddons, kxmlgui, qtbase, - qtdeclarative, + qtdeclarative, kirigami2, }: mkDerivation { @@ -12,7 +12,13 @@ mkDerivation { nativeBuildInputs = [ extra-cmake-modules ]; buildInputs = [ karchive kcompletion kconfig kcoreaddons ki18n kiconthemes kio kitemviews - ktextwidgets kwidgetsaddons qtbase qtdeclarative + ktextwidgets kwidgetsaddons qtbase qtdeclarative kirigami2 ]; propagatedBuildInputs = [ attica kservice kxmlgui ]; + + patches = [ (fetchpatch { + url = "https://github.com/KDE/knewstuff/commit/dbf788c10130eaa3f5ea37a7f22eb4569471aa04.patch"; + sha256 = "1225rgqg1j120nvhgsahvsq2xlkg91lr37zp14x19krixxgx521j"; + revert = true; + }) ]; } diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/kplotting.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/kplotting.nix index 380fd8fc5e3..1774b044b16 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/kplotting.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/kplotting.nix @@ -1,5 +1,5 @@ { mkDerivation, lib -, extra-cmake-modules, qtbase +, extra-cmake-modules, qttools, qtbase }: mkDerivation { @@ -9,6 +9,6 @@ mkDerivation { broken = builtins.compareVersions qtbase.version "5.7.0" < 0; }; nativeBuildInputs = [ extra-cmake-modules ]; - propagatedBuildInputs = [ qtbase ]; + propagatedBuildInputs = [ qtbase qttools ]; outputs = [ "out" "dev" ]; } diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/ktextwidgets.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/ktextwidgets.nix index 8b082f7f365..604066b9639 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/ktextwidgets.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/ktextwidgets.nix @@ -1,6 +1,6 @@ { mkDerivation, lib, - extra-cmake-modules, + extra-cmake-modules, qttools, kcompletion, kconfig, kconfigwidgets, ki18n, kiconthemes, kservice, kwindowsystem, qtbase, sonnet, }: @@ -12,5 +12,5 @@ mkDerivation { buildInputs = [ kcompletion kconfig kconfigwidgets kiconthemes kservice kwindowsystem ]; - propagatedBuildInputs = [ ki18n qtbase sonnet ]; + propagatedBuildInputs = [ ki18n qtbase qttools sonnet ]; } diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/kwindowsystem/platform-plugins-path.patch b/nixpkgs/pkgs/development/libraries/kde-frameworks/kwindowsystem/platform-plugins-path.patch index ae0da37922e..7c86454c5cf 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/kwindowsystem/platform-plugins-path.patch +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/kwindowsystem/platform-plugins-path.patch @@ -1,13 +1,13 @@ -Index: kwindowsystem-5.32.0/src/pluginwrapper.cpp -=================================================================== ---- kwindowsystem-5.32.0.orig/src/pluginwrapper.cpp -+++ kwindowsystem-5.32.0/src/pluginwrapper.cpp -@@ -37,14 +37,9 @@ Q_GLOBAL_STATIC(KWindowSystemPluginWrapp +diff --git a/src/pluginwrapper.cpp b/src/pluginwrapper.cpp +index 8e6298a..210989a 100644 +--- a/src/pluginwrapper.cpp ++++ b/src/pluginwrapper.cpp +@@ -37,14 +37,10 @@ Q_GLOBAL_STATIC(KWindowSystemPluginWrapper, s_pluginWrapper) static QStringList pluginCandidates() { QStringList ret; - foreach (const QString &path, QCoreApplication::libraryPaths()) { -- QDir pluginDir(path + QLatin1Literal("/kf5/org.kde.kwindowsystem.platforms")); +- QDir pluginDir(path + QLatin1String("/kf5/org.kde.kwindowsystem.platforms")); - if (!pluginDir.exists()) { - continue; - } @@ -15,7 +15,8 @@ Index: kwindowsystem-5.32.0/src/pluginwrapper.cpp - ret << pluginDir.absoluteFilePath(entry); - } + QDir pluginDir(QLatin1String(NIXPKGS_QT_PLUGIN_PATH) + QLatin1Literal("/kf5/org.kde.kwindowsystem.platforms")); -+ foreach (const QString &entry, pluginDir.entryList(QDir::Files | QDir::NoDotAndDotDot)) { ++ const auto entries = pluginDir.entryList(QDir::Files | QDir::NoDotAndDotDot); ++ for (const QString &entry : entries) { + ret << pluginDir.absoluteFilePath(entry); } return ret; diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/kxmlgui.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/kxmlgui.nix index b9aede81f9a..5adcf281e62 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/kxmlgui.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/kxmlgui.nix @@ -1,6 +1,6 @@ { mkDerivation, lib, - extra-cmake-modules, + extra-cmake-modules, qttools, attica, kconfig, kconfigwidgets, kglobalaccel, ki18n, kiconthemes, kitemviews, ktextwidgets, kwindowsystem, qtbase, sonnet, }: @@ -13,5 +13,5 @@ mkDerivation { attica kglobalaccel ki18n kiconthemes kitemviews ktextwidgets kwindowsystem sonnet ]; - propagatedBuildInputs = [ kconfig kconfigwidgets qtbase ]; + propagatedBuildInputs = [ kconfig kconfigwidgets qtbase qttools ]; } diff --git a/nixpkgs/pkgs/development/libraries/kde-frameworks/srcs.nix b/nixpkgs/pkgs/development/libraries/kde-frameworks/srcs.nix index 549f99a5588..6a7c7bc0c9c 100644 --- a/nixpkgs/pkgs/development/libraries/kde-frameworks/srcs.nix +++ b/nixpkgs/pkgs/development/libraries/kde-frameworks/srcs.nix @@ -3,635 +3,635 @@ { attica = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/attica-5.61.0.tar.xz"; - sha256 = "9d3ad34c17223333b5a77144cc5a9d941cbb7baa01ab4a2ffe34ae9398c90dde"; - name = "attica-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/attica-5.62.0.tar.xz"; + sha256 = "86b5388c93dd3375dbdca23b20d539af5ed9516f6a573e32549baac3200d029f"; + name = "attica-5.62.0.tar.xz"; }; }; baloo = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/baloo-5.61.0.tar.xz"; - sha256 = "dd559e06237843f51d68eb5001b835037d4b2f6d62b7dc4d040961f9863632f1"; - name = "baloo-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/baloo-5.62.0.tar.xz"; + sha256 = "454e6808a5fe523785e5e67b7c0453fd1b6c42035aaf8084c39ad30bcbbc8d1a"; + name = "baloo-5.62.0.tar.xz"; }; }; bluez-qt = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/bluez-qt-5.61.0.tar.xz"; - sha256 = "0ea647de61fcc18a85c660fa8e05fe93072a713a8d00a018ba8e99ea790e5d27"; - name = "bluez-qt-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/bluez-qt-5.62.0.tar.xz"; + sha256 = "545a6c76042a077f04b0a6c2b8dfbe3b5b1a582edaae4454d7a57c06ab033715"; + name = "bluez-qt-5.62.0.tar.xz"; }; }; breeze-icons = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/breeze-icons-5.61.0.tar.xz"; - sha256 = "1d260a01a2617f5f755d2eb38423af19bf4a1a2ccfa9339b441b4f6be6381c30"; - name = "breeze-icons-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/breeze-icons-5.62.0.tar.xz"; + sha256 = "5858100f1a87dc865f44cde159aaee025ec46f894f544c75086ea0e8f9555951"; + name = "breeze-icons-5.62.0.tar.xz"; }; }; extra-cmake-modules = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/extra-cmake-modules-5.61.0.tar.xz"; - sha256 = "a86a3b12c8a540af822131a8d65586d985267b1d642c29b4815b6c7870bc126c"; - name = "extra-cmake-modules-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/extra-cmake-modules-5.62.0.tar.xz"; + sha256 = "e07acfecef1b4c7a481a253b58b75072a4f887376301108ed2c753b5002adcd4"; + name = "extra-cmake-modules-5.62.0.tar.xz"; }; }; frameworkintegration = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/frameworkintegration-5.61.0.tar.xz"; - sha256 = "a1a2bbb15d287b67643750cb5414ceb10c6583861dd5c00118010d409f106efb"; - name = "frameworkintegration-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/frameworkintegration-5.62.0.tar.xz"; + sha256 = "0d43d6cd008359eac4840c8b6e12d2b17eeb53c95111af1f7e8ca6ae8e6aca2c"; + name = "frameworkintegration-5.62.0.tar.xz"; }; }; kactivities = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kactivities-5.61.0.tar.xz"; - sha256 = "0d7d7e5bd68541ad1dcf1f96c7205330cb7b075c6ff0d8b46774e781eff84af5"; - name = "kactivities-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kactivities-5.62.0.tar.xz"; + sha256 = "b466b8921adad6d887f93f760634dfa344ae52df83c58dd7ae75174961def85b"; + name = "kactivities-5.62.0.tar.xz"; }; }; kactivities-stats = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kactivities-stats-5.61.0.tar.xz"; - sha256 = "9062eb0f189f1b50674e65a7db9a4b821c628acd1ac650000cebbf1f7bdf0068"; - name = "kactivities-stats-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kactivities-stats-5.62.0.tar.xz"; + sha256 = "e6850a59d2e3dd566c77aa2b2fdc684737634b59755dcc7de231b8b496acbc1a"; + name = "kactivities-stats-5.62.0.tar.xz"; }; }; kapidox = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kapidox-5.61.0.tar.xz"; - sha256 = "3c948c87c7f7b16a3835f7df8387c110efe5fefecf8a7d6ffa1cae647be0669f"; - name = "kapidox-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kapidox-5.62.0.tar.xz"; + sha256 = "6aa3928b26acc23f5271ba0591d64a55c342e36ae16094e09be1ef038538952f"; + name = "kapidox-5.62.0.tar.xz"; }; }; karchive = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/karchive-5.61.0.tar.xz"; - sha256 = "457ed420449630625cb161fcc9bedc7c6a16527f48d6db4008aea76cdb948387"; - name = "karchive-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/karchive-5.62.0.tar.xz"; + sha256 = "99980ebdc16dd9ac062fcfda0974c0ce894c09a395caf914518646ffdc48e3ca"; + name = "karchive-5.62.0.tar.xz"; }; }; kauth = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kauth-5.61.0.tar.xz"; - sha256 = "b04458f32046b2dd61b48118646180df63d2c843cb2d53560aaa15168df087f1"; - name = "kauth-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kauth-5.62.0.tar.xz"; + sha256 = "31162621200df4b927719e34ce62004c51e79b9d785f9c3056c6902f80eeefe6"; + name = "kauth-5.62.0.tar.xz"; }; }; kbookmarks = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kbookmarks-5.61.0.tar.xz"; - sha256 = "24f87ff1acc5f0c257518f67af277b454566e607f82eb09e75b4a6ed02403377"; - name = "kbookmarks-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kbookmarks-5.62.0.tar.xz"; + sha256 = "69318784fa5feaee3e60bb159fb6c827475a8ce28a74bedf5939ad592c29ea4f"; + name = "kbookmarks-5.62.0.tar.xz"; }; }; kcmutils = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kcmutils-5.61.0.tar.xz"; - sha256 = "b8b79ef2f4513fbe5e4c61cf4726ed33b95efffabdd512fcc2dcff23c23cdfa7"; - name = "kcmutils-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kcmutils-5.62.0.tar.xz"; + sha256 = "93fd9b7b97cb4488f2007a4f518159129f0caafc05a004be56c87dd355870b1b"; + name = "kcmutils-5.62.0.tar.xz"; }; }; kcodecs = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kcodecs-5.61.0.tar.xz"; - sha256 = "4604323e44c1be7547f25b43b71bd541048c3d036a7fc5ca74e5ece9792ff5ee"; - name = "kcodecs-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kcodecs-5.62.0.tar.xz"; + sha256 = "1b015be0200444f1ce18ecc5c05dbafde62575a8e094e48698b4b64f43f307b1"; + name = "kcodecs-5.62.0.tar.xz"; }; }; kcompletion = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kcompletion-5.61.0.tar.xz"; - sha256 = "68697be65d6c9e0053fc3e504170d23c3162c05a0a9027249c575bc6dc8bd3ec"; - name = "kcompletion-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kcompletion-5.62.0.tar.xz"; + sha256 = "af774190ca1a0e4d335485548d6e5c1e02042a5d0e29a3c0db17c24e3656edec"; + name = "kcompletion-5.62.0.tar.xz"; }; }; kconfig = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kconfig-5.61.0.tar.xz"; - sha256 = "94c0e292a5d57e014aa745be6b59a989118ead1252d56c768f2719b5c6471372"; - name = "kconfig-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kconfig-5.62.0.tar.xz"; + sha256 = "fffe16924245e34d6267e67a6d425dc7b4fdab405968bffa4fff7bea5779bb51"; + name = "kconfig-5.62.0.tar.xz"; }; }; kconfigwidgets = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kconfigwidgets-5.61.0.tar.xz"; - sha256 = "4cc1e55c5f994abbec03b32bef73bdf54c2613199a446ad63f4ced6e3a0e2165"; - name = "kconfigwidgets-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kconfigwidgets-5.62.0.tar.xz"; + sha256 = "6c10810725e0b109c96ddc2246ca1741bcae012296e31caf7b41167a04ae31d6"; + name = "kconfigwidgets-5.62.0.tar.xz"; }; }; kcoreaddons = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kcoreaddons-5.61.0.tar.xz"; - sha256 = "6a4ea2eca77944c24fe63d2f7111913db721533d5971497cb5bdd2cac896e813"; - name = "kcoreaddons-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kcoreaddons-5.62.0.tar.xz"; + sha256 = "3819e2792a2e61444e337cd1a4cbdc362c18810918376eefc30b203fbd160b41"; + name = "kcoreaddons-5.62.0.tar.xz"; }; }; kcrash = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kcrash-5.61.0.tar.xz"; - sha256 = "83e6333ea0cd7d1ded3fa84f126e3c86a010d7bdb7fd183e7c5d42a8b8e74db8"; - name = "kcrash-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kcrash-5.62.0.tar.xz"; + sha256 = "9fac9396212148aade4b59665ec1725fa76e229f24c46b601f066e0026eddd2c"; + name = "kcrash-5.62.0.tar.xz"; }; }; kdbusaddons = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kdbusaddons-5.61.0.tar.xz"; - sha256 = "f24fadc71670591bb679cde68147e53819f6c3d56126ecbafe59688fc47b347d"; - name = "kdbusaddons-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kdbusaddons-5.62.0.tar.xz"; + sha256 = "d32e0b16abcb2b1593a567b0ef12cfb94ec2f08e5b8a3ec56efac19b22ca0152"; + name = "kdbusaddons-5.62.0.tar.xz"; }; }; kdeclarative = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kdeclarative-5.61.0.tar.xz"; - sha256 = "464a77f88cce72c1616654c371068c11d51e484e0de5c0c5e032126d71afedaa"; - name = "kdeclarative-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kdeclarative-5.62.0.tar.xz"; + sha256 = "804bc6dd1848fe38b9160a680f3d9f9b67d47150ee9683b3d2c5a07b96a12e46"; + name = "kdeclarative-5.62.0.tar.xz"; }; }; kded = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kded-5.61.0.tar.xz"; - sha256 = "ca970111cb2d0073305a226cc005e2085952c2a02703168a775f954d27d723bc"; - name = "kded-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kded-5.62.0.tar.xz"; + sha256 = "d2d7a979114ca770442cec0f89fe87730ff0c44b98ee64b39c2cada672fc03b1"; + name = "kded-5.62.0.tar.xz"; }; }; kdelibs4support = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/portingAids/kdelibs4support-5.61.0.tar.xz"; - sha256 = "ae6f7c10e1fe67ded687f38a8ab3c8d483ae06ae69344bd1e683af752cf40b5c"; - name = "kdelibs4support-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/portingAids/kdelibs4support-5.62.0.tar.xz"; + sha256 = "9cc10b4727b8ee3bae46af796e7da5d6ae620c543278814176a389ea178595ed"; + name = "kdelibs4support-5.62.0.tar.xz"; }; }; kdesignerplugin = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kdesignerplugin-5.61.0.tar.xz"; - sha256 = "6b204dffbb4897f51143650d75383b5a3ddf4254455e5827d316c7b4ee7b3f33"; - name = "kdesignerplugin-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/portingAids/kdesignerplugin-5.62.0.tar.xz"; + sha256 = "b5c0769d0b1df99f456c3c6f22a48e8bdf9c15f00be2e0795ae5bc5170596e47"; + name = "kdesignerplugin-5.62.0.tar.xz"; }; }; kdesu = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kdesu-5.61.0.tar.xz"; - sha256 = "398e74bdfe695ec2d7b57ce78f9fce3e19bb447a8eb5924441718a8f7384f888"; - name = "kdesu-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kdesu-5.62.0.tar.xz"; + sha256 = "9c22ad0a5c1d948a91846a34066155f64758b69ab005eb423bb02ba06301c80e"; + name = "kdesu-5.62.0.tar.xz"; }; }; kdewebkit = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/portingAids/kdewebkit-5.61.0.tar.xz"; - sha256 = "1ee2a00ee3d95df9270e8c3d434568cda8f42151e361bc07fe374bf0f7afe211"; - name = "kdewebkit-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/portingAids/kdewebkit-5.62.0.tar.xz"; + sha256 = "5e45a7866b28d69e6d28f821011c020e53cc6e5b59bcdb7a5d9cb7bda37175e4"; + name = "kdewebkit-5.62.0.tar.xz"; }; }; kdnssd = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kdnssd-5.61.0.tar.xz"; - sha256 = "02d70e5ee18697867c1a12373c1dbe31e1efba1fcb1e26bba3c75472cd3b271d"; - name = "kdnssd-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kdnssd-5.62.0.tar.xz"; + sha256 = "21554c6faf2f7136fb8f7a2908340c120ed0d5dc1475f5aeb8cafed1e4228009"; + name = "kdnssd-5.62.0.tar.xz"; }; }; kdoctools = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kdoctools-5.61.0.tar.xz"; - sha256 = "e48d8f8f075171c6b83189999a10552c772c6a7e9a115a2643414f9ecec77c6f"; - name = "kdoctools-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kdoctools-5.62.0.tar.xz"; + sha256 = "471ce5106f80af7272d2ea54265bde5a833c8de7716e8bd82f7a5742939c3f48"; + name = "kdoctools-5.62.0.tar.xz"; }; }; kemoticons = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kemoticons-5.61.0.tar.xz"; - sha256 = "cfc17de43320fbb353be30ae8d5b448b88da6f83bd23e29d678cd95a4bd7a380"; - name = "kemoticons-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kemoticons-5.62.0.tar.xz"; + sha256 = "fab145b2c4106be8a4f0024cb436d02d0fdcbf8666e9a790cc1cd98db1e70313"; + name = "kemoticons-5.62.0.tar.xz"; }; }; kfilemetadata = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kfilemetadata-5.61.0.tar.xz"; - sha256 = "15f20af053c71c1e5ba6c6ade90b7cce27645b27ee30f1e6e73038e81a2c958e"; - name = "kfilemetadata-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kfilemetadata-5.62.0.tar.xz"; + sha256 = "2d8488500b19a7d8f90712775e0353e16957857a89162d6c7b947dd5536245b0"; + name = "kfilemetadata-5.62.0.tar.xz"; }; }; kglobalaccel = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kglobalaccel-5.61.0.tar.xz"; - sha256 = "ad6bd2648e39854369555dd8a0823b08d9631f3638472627eb80e01d9902150e"; - name = "kglobalaccel-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kglobalaccel-5.62.0.tar.xz"; + sha256 = "b087ec1a23a50787d27e8c5d618d4097375a2f7b6188bc9077a5e60d11e2c04d"; + name = "kglobalaccel-5.62.0.tar.xz"; }; }; kguiaddons = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kguiaddons-5.61.0.tar.xz"; - sha256 = "40cefa421b5ad5cf211875a35408ba526a5fb34e5ba19ebbda718dbf6b742520"; - name = "kguiaddons-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kguiaddons-5.62.0.tar.xz"; + sha256 = "5fc61818ed054901a8b1a6a56a83ccaf5f38d9ea7c5761fa6279cd7316d81e44"; + name = "kguiaddons-5.62.0.tar.xz"; }; }; kholidays = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kholidays-5.61.0.tar.xz"; - sha256 = "ce3d879824a3e429b468008c1ccec5de44c07299d412ea32f9a2a814c27c08c1"; - name = "kholidays-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kholidays-5.62.0.tar.xz"; + sha256 = "f9f7cc399b35cef9348b8fbaabb87145b689165a66b874e3250456f6bbdcb329"; + name = "kholidays-5.62.0.tar.xz"; }; }; khtml = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/portingAids/khtml-5.61.0.tar.xz"; - sha256 = "5d8612b584eecf96959d56bb75b1470b3b34ff7176cef7a0a15bc2531b21720b"; - name = "khtml-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/portingAids/khtml-5.62.0.tar.xz"; + sha256 = "35e3f7e419041f0892ea42c6506b627661137602c25f0f1d6a81537b583682c1"; + name = "khtml-5.62.0.tar.xz"; }; }; ki18n = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/ki18n-5.61.0.tar.xz"; - sha256 = "d8c0594268b386ee42823360aa937c664cf04eedac8232bc18a653a9c52491d9"; - name = "ki18n-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/ki18n-5.62.0.tar.xz"; + sha256 = "b11a0c94c7149798f3f6592e2c386a682d9c528d1e10a59ed3934a93acbc79cb"; + name = "ki18n-5.62.0.tar.xz"; }; }; kiconthemes = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kiconthemes-5.61.0.tar.xz"; - sha256 = "341741abd0b8aeeec8a2a87fe781b4ec1ab593563b1c063cdfdccead3706cdd7"; - name = "kiconthemes-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kiconthemes-5.62.0.tar.xz"; + sha256 = "33fb5caf28ee763edeb3def66386a27f6a7b2bac6a6a0f0728dd4b222d11ebfe"; + name = "kiconthemes-5.62.0.tar.xz"; }; }; kidletime = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kidletime-5.61.0.tar.xz"; - sha256 = "8fb302dcc5b891ac2f06b5278bd6e08043772f3325bc209175c945280621fca2"; - name = "kidletime-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kidletime-5.62.0.tar.xz"; + sha256 = "dd2b6a9f7815c8e84b635e694cbf9ee207996d2cf3adb5a85eadd4a8de37f276"; + name = "kidletime-5.62.0.tar.xz"; }; }; kimageformats = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kimageformats-5.61.0.tar.xz"; - sha256 = "5a81359a043e201b29e205dd93559de077e0317d26712cb1c07e624d76aeb207"; - name = "kimageformats-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kimageformats-5.62.0.tar.xz"; + sha256 = "65c179e15dd9c81a4515eb9189951641cca4aad9e7456067a208658ce205c2c2"; + name = "kimageformats-5.62.0.tar.xz"; }; }; kinit = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kinit-5.61.0.tar.xz"; - sha256 = "1806bba9cc3f4d9c5ed23f49eca30707e8f74a99d35f5022130a46a395f2858f"; - name = "kinit-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kinit-5.62.0.tar.xz"; + sha256 = "5c4b066362ab6528b5c9ac654c20cc4eeed87e5384b26b3aa1df968c98c1e21a"; + name = "kinit-5.62.0.tar.xz"; }; }; kio = { - version = "5.61.0"; + version = "5.62.1"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kio-5.61.0.tar.xz"; - sha256 = "1fa35126f8167bdbe029e515d01c8d4b91a07556ce6d5c9418e0ea10d7c2e44e"; - name = "kio-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kio-5.62.1.tar.xz"; + sha256 = "4b149085bcfbcd729d808a34bcbd4b11f5f9526aa919c82eaddabc1e88113df0"; + name = "kio-5.62.1.tar.xz"; }; }; kirigami2 = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kirigami2-5.61.0.tar.xz"; - sha256 = "afdbe922f0627330319f22834d6631af13edb0081c687422d36acb8697a88c30"; - name = "kirigami2-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kirigami2-5.62.0.tar.xz"; + sha256 = "b3cc36bddb5e52617075961b2cbaecbb94492523bcc6801a3ad29a35c43bd912"; + name = "kirigami2-5.62.0.tar.xz"; }; }; kitemmodels = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kitemmodels-5.61.0.tar.xz"; - sha256 = "47db271ba24904933629ed00f7a4f916a19969967dcfbfd59ae5e98f08f89d68"; - name = "kitemmodels-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kitemmodels-5.62.0.tar.xz"; + sha256 = "4ed6c4081cf6493d6f40ab45deb61325346ab8577eadec7ba8af6a36d7a6485e"; + name = "kitemmodels-5.62.0.tar.xz"; }; }; kitemviews = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kitemviews-5.61.0.tar.xz"; - sha256 = "0447b361444a853409f65e2fb5650cc95eb799ca54a5d7e15cd6d8ca527002da"; - name = "kitemviews-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kitemviews-5.62.0.tar.xz"; + sha256 = "34881a269bdae7e3643ab73290931859437fde72042a066170e7467422408065"; + name = "kitemviews-5.62.0.tar.xz"; }; }; kjobwidgets = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kjobwidgets-5.61.0.tar.xz"; - sha256 = "5246c2a230e3b4e9d7ba87c5a6b13b5f96fef6af0d1262f27f91fa0c619cf378"; - name = "kjobwidgets-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kjobwidgets-5.62.0.tar.xz"; + sha256 = "e3607167361fdd6874a165881de523505bd00d8fabb755abf62114c017a39c93"; + name = "kjobwidgets-5.62.0.tar.xz"; }; }; kjs = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/portingAids/kjs-5.61.0.tar.xz"; - sha256 = "968e1592c98ee260d80644bf4631bf09479512e48fa878887ee3b9d6d57d3d17"; - name = "kjs-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/portingAids/kjs-5.62.0.tar.xz"; + sha256 = "aaff97d97e3163f890001b7d2e4c0329fdd9d9c53ce4924233246f3ef6cd5962"; + name = "kjs-5.62.0.tar.xz"; }; }; kjsembed = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/portingAids/kjsembed-5.61.0.tar.xz"; - sha256 = "d8e0afad638574c31c89d716d78456ce51ffe6dd03eae6787bc9b4f8b52d5b44"; - name = "kjsembed-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/portingAids/kjsembed-5.62.0.tar.xz"; + sha256 = "3763c5f67fa92803b5003a41c5b696524be3d0528018a3d5643abc25b161c23b"; + name = "kjsembed-5.62.0.tar.xz"; }; }; kmediaplayer = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/portingAids/kmediaplayer-5.61.0.tar.xz"; - sha256 = "ae15a4a39e6530b505d699fb1b1ab3fd5f0e64d87dd758db17702463e44ce181"; - name = "kmediaplayer-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/portingAids/kmediaplayer-5.62.0.tar.xz"; + sha256 = "2d7fa77c085ab0a48e3ce41ec5d6ffa16fbf7194f2d6ace43e37967c0ffb7880"; + name = "kmediaplayer-5.62.0.tar.xz"; }; }; knewstuff = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/knewstuff-5.61.0.tar.xz"; - sha256 = "87f8ec030223f5f0e4e39de8407fc0d28542e48e057c1752adb2466c55fe365b"; - name = "knewstuff-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/knewstuff-5.62.0.tar.xz"; + sha256 = "5ec7806bf1c5d24a0f393fc48950afefc4bbd1b04b2ad1db59f5f05ecd8f0195"; + name = "knewstuff-5.62.0.tar.xz"; }; }; knotifications = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/knotifications-5.61.0.tar.xz"; - sha256 = "f72ce6394465316a5324e38afb07f4f71d5f8e281d09b5cf340246c9905568ac"; - name = "knotifications-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/knotifications-5.62.0.tar.xz"; + sha256 = "55ec35bc9ddccd12289d9501b11d453885eabb9caebd4b93199d7c662a147263"; + name = "knotifications-5.62.0.tar.xz"; }; }; knotifyconfig = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/knotifyconfig-5.61.0.tar.xz"; - sha256 = "bbd2260a98f70779415369ca1d99807bc3e57f618024b9663d2a462a74169bee"; - name = "knotifyconfig-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/knotifyconfig-5.62.0.tar.xz"; + sha256 = "bb51d1a3f69f9faf274ee381d6d267bf4a69edbbdfcfd9efcab76270db4f8e4d"; + name = "knotifyconfig-5.62.0.tar.xz"; }; }; kpackage = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kpackage-5.61.0.tar.xz"; - sha256 = "8ff82d14fe0dd92ac774d5cd9cd6334b01574f0f5c584266f97359dde5db9a5f"; - name = "kpackage-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kpackage-5.62.0.tar.xz"; + sha256 = "588e6f7b0c066993dbd9b6a0fd2535cd2f1c58ea5bd7ebe1dc381049776bbf62"; + name = "kpackage-5.62.0.tar.xz"; }; }; kparts = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kparts-5.61.0.tar.xz"; - sha256 = "f223b38f34f009bb25511ce7d97c607102cbb0a1bd0253ec1b7d1fe1b7c81436"; - name = "kparts-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kparts-5.62.0.tar.xz"; + sha256 = "2249e70de0b57f13d8ee7a2840106b5a4aed05a6b73da9245101e0cbc9c846ef"; + name = "kparts-5.62.0.tar.xz"; }; }; kpeople = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kpeople-5.61.0.tar.xz"; - sha256 = "549edacd7b63d704dd165bc803ae03f8d9e8c1ba31f8dbaea3f7e12c466b4298"; - name = "kpeople-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kpeople-5.62.0.tar.xz"; + sha256 = "e061991f08e6642e61531a630a81927ea0ccd7402f469806a6cfeecf9b5064a2"; + name = "kpeople-5.62.0.tar.xz"; }; }; kplotting = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kplotting-5.61.0.tar.xz"; - sha256 = "95781b50bef0e081e48b472b4fcbbcd3301ec45245498261e4a3ec8e42b892ba"; - name = "kplotting-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kplotting-5.62.0.tar.xz"; + sha256 = "7472943518a4b0e2fe1877ce47b9f667e178822926985a0efc9c20361097b94e"; + name = "kplotting-5.62.0.tar.xz"; }; }; kpty = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kpty-5.61.0.tar.xz"; - sha256 = "b91a88c00d3387927d1f6886a04e6e5bcc615ee1d0e72f647d51320ebf73471c"; - name = "kpty-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kpty-5.62.0.tar.xz"; + sha256 = "6efd3a3103f15ee825b220ac309bcce3bbce56e9b915e61a4277a2cb096bcb96"; + name = "kpty-5.62.0.tar.xz"; }; }; kross = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/portingAids/kross-5.61.0.tar.xz"; - sha256 = "103837799febbd62365a6445db046a2ee4add13d7d250abf925872cac642986e"; - name = "kross-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/portingAids/kross-5.62.0.tar.xz"; + sha256 = "38bf9a57f181d823974a00896d89ae7106488c2ccbd7179e295d297edb338563"; + name = "kross-5.62.0.tar.xz"; }; }; krunner = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/krunner-5.61.0.tar.xz"; - sha256 = "f32ea603a9bcb9c2e39231f99bfc6079d118eebbf2c72e0818e2a9cd060543be"; - name = "krunner-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/krunner-5.62.0.tar.xz"; + sha256 = "21b9564d07395f0e1c5c09557ffc64eb1929dd8925914fdb581f5daa537b01fc"; + name = "krunner-5.62.0.tar.xz"; }; }; kservice = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kservice-5.61.0.tar.xz"; - sha256 = "4489ac4553522bb76604e284338ab37a7a2369eea45dadd96a955fedf8ca99f9"; - name = "kservice-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kservice-5.62.0.tar.xz"; + sha256 = "a2e105ae8202fa0d9f443490c56e25083c0b9ee285aa82fa26bb8a14f9999db8"; + name = "kservice-5.62.0.tar.xz"; }; }; ktexteditor = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/ktexteditor-5.61.0.tar.xz"; - sha256 = "ae99eacb445f8bc27af379d1ec54e8df4d25f601fc12053bc2928a8c639ad0cb"; - name = "ktexteditor-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/ktexteditor-5.62.0.tar.xz"; + sha256 = "e02d54035367071d44a1499a7f6c482491116c5676fa8ceb57b1e9f564975092"; + name = "ktexteditor-5.62.0.tar.xz"; }; }; ktextwidgets = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/ktextwidgets-5.61.0.tar.xz"; - sha256 = "a2fddad3dda750ea6bdb104c460e50586946ded3e1f46a8729dbd304016a0b5a"; - name = "ktextwidgets-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/ktextwidgets-5.62.0.tar.xz"; + sha256 = "ec34931658cbe3a7ad7419a6e588cd9f9981e9b5ab2400e8b6f2b79b29f83774"; + name = "ktextwidgets-5.62.0.tar.xz"; }; }; kunitconversion = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kunitconversion-5.61.0.tar.xz"; - sha256 = "e5ffa3ff954c46b2416823467fcecd37c6ddb8304529703bc9cc3a24b74b6c24"; - name = "kunitconversion-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kunitconversion-5.62.0.tar.xz"; + sha256 = "e96ce3efcb6efe3afc0d5cf093971ea89fe2f20660da16349309cf6748446f41"; + name = "kunitconversion-5.62.0.tar.xz"; }; }; kwallet = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kwallet-5.61.0.tar.xz"; - sha256 = "628ded35a8f44750a770bf10bba9a763994660923a689eee05f8dfb7e92baec8"; - name = "kwallet-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kwallet-5.62.0.tar.xz"; + sha256 = "911d1f3320e7e3d25243e134ba0e42cd5e3ed2ee6c846dbb13777b1a4b338a5b"; + name = "kwallet-5.62.0.tar.xz"; }; }; kwayland = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kwayland-5.61.0.tar.xz"; - sha256 = "42d3bc629710e09074006af288986b00683853660648c9364fb09d49db3f0e07"; - name = "kwayland-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kwayland-5.62.0.tar.xz"; + sha256 = "c9f513008c91e70b09f5acb76dde332491afde0e94948066c2f1e621bc368eb6"; + name = "kwayland-5.62.0.tar.xz"; }; }; kwidgetsaddons = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kwidgetsaddons-5.61.0.tar.xz"; - sha256 = "5abc169f431fba18418f23ff1749414d8318baff868a7b821916cc44508c6891"; - name = "kwidgetsaddons-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kwidgetsaddons-5.62.0.tar.xz"; + sha256 = "3a8e75d544783a1f567016f2669c3cfdbf2809b0a3d25afd03d38af62a493671"; + name = "kwidgetsaddons-5.62.0.tar.xz"; }; }; kwindowsystem = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kwindowsystem-5.61.0.tar.xz"; - sha256 = "17958b612e751e838aa7a0d4f8c7a8a8d83d3f4ace5498fe1f2b8650a2d8f984"; - name = "kwindowsystem-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kwindowsystem-5.62.0.tar.xz"; + sha256 = "116d75216ea001b2fc8688a72bcc6105b0b0966a2c5a084497f3aef80d158a67"; + name = "kwindowsystem-5.62.0.tar.xz"; }; }; kxmlgui = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kxmlgui-5.61.0.tar.xz"; - sha256 = "867ff1c3ad464bb6268d00ca290569ef1da7659d3fd2f6349015bc3e2562836b"; - name = "kxmlgui-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kxmlgui-5.62.0.tar.xz"; + sha256 = "bc4321b4d44b0af1c2808814b89231a8c9e86de22ca1c0b080a769819ebc5d50"; + name = "kxmlgui-5.62.0.tar.xz"; }; }; kxmlrpcclient = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/kxmlrpcclient-5.61.0.tar.xz"; - sha256 = "382b4730e4b32c1d300f8fdb6269e40995ec282ebe1cbb044ab1a2b2b68c3a1a"; - name = "kxmlrpcclient-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/kxmlrpcclient-5.62.0.tar.xz"; + sha256 = "c284c3e5962d2ed6d0737e5814b85fa4d7926131b7799272cb56c464a12c4530"; + name = "kxmlrpcclient-5.62.0.tar.xz"; }; }; modemmanager-qt = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/modemmanager-qt-5.61.0.tar.xz"; - sha256 = "c9883a3aac7415045a03f0bda435a2a5ff7523538868b72dffa8e4b40e88502a"; - name = "modemmanager-qt-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/modemmanager-qt-5.62.0.tar.xz"; + sha256 = "b2a6517377b53aca895efd657d553dc5b057a673c07ccb10786031240b11adf5"; + name = "modemmanager-qt-5.62.0.tar.xz"; }; }; networkmanager-qt = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/networkmanager-qt-5.61.0.tar.xz"; - sha256 = "1ded63af93957a04292e965ecce06388f183d3adc555b4f3d33337ee15d858c3"; - name = "networkmanager-qt-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/networkmanager-qt-5.62.0.tar.xz"; + sha256 = "08f73ced96866b9dfded574a87c9e887dc907fc510d2764a4aa09315511cedf9"; + name = "networkmanager-qt-5.62.0.tar.xz"; }; }; oxygen-icons5 = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/oxygen-icons5-5.61.0.tar.xz"; - sha256 = "1ca8f6e42186d069cb4f0581914b147cabc3be3e720c382e77048be134bb1b26"; - name = "oxygen-icons5-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/oxygen-icons5-5.62.0.tar.xz"; + sha256 = "c066bc96fd45f3553e3c344c7cef34afda3180c95bf67af6cf20e964fd5c1a00"; + name = "oxygen-icons5-5.62.0.tar.xz"; }; }; plasma-framework = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/plasma-framework-5.61.0.tar.xz"; - sha256 = "873d604aadbe21ba38cdb12b778d3baf121a54e6155596f0ebee1840138060fe"; - name = "plasma-framework-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/plasma-framework-5.62.0.tar.xz"; + sha256 = "324bf14078459954c355bb6f146b927f6cbf915109365cdc58c1d81c8495bdb4"; + name = "plasma-framework-5.62.0.tar.xz"; }; }; prison = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/prison-5.61.0.tar.xz"; - sha256 = "9ebab1755e9d7cb01b2aa6e8b63640eb112d8557073423abdb94faecb42d87ab"; - name = "prison-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/prison-5.62.0.tar.xz"; + sha256 = "d7a024881119e2e91fe2ef98ec982f33e87d3f5584c3e4438638e23cf0106fb0"; + name = "prison-5.62.0.tar.xz"; }; }; purpose = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/purpose-5.61.0.tar.xz"; - sha256 = "810a660d0a4d6de41e1b4d00fcb039d3b099ceae65ec96261ca8dd1fba458d08"; - name = "purpose-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/purpose-5.62.0.tar.xz"; + sha256 = "ed0bdc72b1b95fe988fb2ceba5cc1bd36b5bf00d30c098e9de50fdc36d3b3492"; + name = "purpose-5.62.0.tar.xz"; }; }; qqc2-desktop-style = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/qqc2-desktop-style-5.61.0.tar.xz"; - sha256 = "26042c4f939b94caa559cba3ef171ef7bb1490f57c9907f5e4b30a701659abb4"; - name = "qqc2-desktop-style-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/qqc2-desktop-style-5.62.0.tar.xz"; + sha256 = "97234e956a7fc09bef0665e9a759d6f370419bd3cd2bbbd700849e4cbe549bfa"; + name = "qqc2-desktop-style-5.62.0.tar.xz"; }; }; solid = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/solid-5.61.0.tar.xz"; - sha256 = "c3a032086eacbb836fc102bd77236285ad5a808c0537ff55dbacda539ba3eacf"; - name = "solid-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/solid-5.62.0.tar.xz"; + sha256 = "24a01a7e89b2c1e39cb9ebc477f80f5ab966d35fce00f63682b159a15de64cc3"; + name = "solid-5.62.0.tar.xz"; }; }; sonnet = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/sonnet-5.61.0.tar.xz"; - sha256 = "4c8818897ea5dac25e0120acfd4e15c44adf2ee76749870b8f70178f1a3d8b29"; - name = "sonnet-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/sonnet-5.62.0.tar.xz"; + sha256 = "a1a2d3500d7fc51d94fd6f9d951c83be86436284aeda8416963fc5213956a69a"; + name = "sonnet-5.62.0.tar.xz"; }; }; syndication = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/syndication-5.61.0.tar.xz"; - sha256 = "2803b2960dd23492ad002e0f23563c9f06500ddc144dd0be2e3e0ef2f6c1f576"; - name = "syndication-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/syndication-5.62.0.tar.xz"; + sha256 = "d315a5a5e691925df44ce30abbd5208b764a72eb42d38dc5b5ca134d71c05462"; + name = "syndication-5.62.0.tar.xz"; }; }; syntax-highlighting = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/syntax-highlighting-5.61.0.tar.xz"; - sha256 = "475392c03534d7b5301ff2e02461444e463ad4def985da81ad4b315660416721"; - name = "syntax-highlighting-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/syntax-highlighting-5.62.0.tar.xz"; + sha256 = "897fac9ec2e5112d629da464d47223129e547c314369e1518a12c5c94ff2a6fd"; + name = "syntax-highlighting-5.62.0.tar.xz"; }; }; threadweaver = { - version = "5.61.0"; + version = "5.62.0"; src = fetchurl { - url = "${mirror}/stable/frameworks/5.61/threadweaver-5.61.0.tar.xz"; - sha256 = "e7a0cecfaa60c7a8e4bdd4dfe842fb54a344d331a6c62316c147d8dc2a5e5843"; - name = "threadweaver-5.61.0.tar.xz"; + url = "${mirror}/stable/frameworks/5.62/threadweaver-5.62.0.tar.xz"; + sha256 = "aa1704c20c6d38fde4f9988e13cb97356e1c69c7a9d0401870b1515a2814294a"; + name = "threadweaver-5.62.0.tar.xz"; }; }; } diff --git a/nixpkgs/pkgs/development/libraries/libabw/default.nix b/nixpkgs/pkgs/development/libraries/libabw/default.nix index f953c170ab5..a37d4756cbd 100644 --- a/nixpkgs/pkgs/development/libraries/libabw/default.nix +++ b/nixpkgs/pkgs/development/libraries/libabw/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { pname = "libabw"; - version = "0.1.2"; + version = "0.1.3"; src = fetchurl { url = "https://dev-www.libreoffice.org/src/libabw/${pname}-${version}.tar.xz"; - sha256 = "11949iscdb99f2jplxjd39282jxcrf2fw0sqbh5dl7gqb96r8whb"; + sha256 = "1vbfrmnvib3cym0yyyabnd8xpx4f7wp20vnn09s6dln347fajqz7"; }; # Boost 1.59 compatability fix diff --git a/nixpkgs/pkgs/development/libraries/libbsd/darwin.patch b/nixpkgs/pkgs/development/libraries/libbsd/darwin.patch index 0f9cc9aaf96..340eb47a630 100644 --- a/nixpkgs/pkgs/development/libraries/libbsd/darwin.patch +++ b/nixpkgs/pkgs/development/libraries/libbsd/darwin.patch @@ -1,30 +1,26 @@ diff --git a/configure.ac b/configure.ac -index 55fcfe6..1e26c93 100644 +index 6e9496d..60b6e4c 100644 --- a/configure.ac +++ b/configure.ac -@@ -51,15 +51,19 @@ AS_CASE([$host_os], - AC_SEARCH_LIBS([clock_gettime], [rt], [CLOCK_GETTIME_LIBS="-lrt"]) - AC_SUBST([CLOCK_GETTIME_LIBS]) - LIBS="$saved_LIBS" -+ AM_CONDITIONAL([BUILD_LINK_VERSION_SCRIPT], [1]) - ], - [*-musl*], [ - # Upstream refuses to define this, we will do it ourselves then. - AC_DEFINE([__MUSL__], [1], [Define to 1 if we are building for musl]) -+ AM_CONDITIONAL([BUILD_LINK_VERSION_SCRIPT], [1]) - ], --) -+ [ -+ AM_CONDITIONAL([BUILD_LINK_VERSION_SCRIPT], [1]) -+ ]) +@@ -44,6 +44,8 @@ AC_SUBST([TESTU01_LIBS]) + AM_CONDITIONAL([HAVE_LIBTESTU01], + [test "x$ac_cv_lib_testu01_unif01_CreateExternGenBits" = "xyes"]) + ++AX_CHECK_VSCRIPT ++ + is_windows=no + AS_CASE([$host_os], + [*-gnu*], [ +@@ -68,7 +70,7 @@ AS_CASE([$host_os], + AM_CONDITIONAL([OS_WINDOWS], [test "x$is_windows" = "xyes"]) # Checks for header files. --AC_CHECK_HEADERS([sys/ndir.h sys/dir.h ndir.h dirent.h]) -+AC_CHECK_HEADERS([sys/ndir.h sys/dir.h ndir.h dirent.h nlist.h]) +-AC_CHECK_HEADERS([sys/ndir.h sys/dir.h ndir.h dirent.h grp.h]) ++AC_CHECK_HEADERS([sys/ndir.h sys/dir.h ndir.h dirent.h grp.h nlist.h]) # Checks for typedefs, structures, and compiler characteristics. AC_C_INLINE -@@ -143,7 +147,8 @@ AC_LINK_IFELSE( +@@ -169,7 +171,8 @@ AC_LINK_IFELSE( AC_CHECK_FUNCS([clearenv dirfd fopencookie __fpurge \ getauxval getentropy getexecname getline \ @@ -60,19 +56,168 @@ index f987fee..a1e17ed 100644 #if !defined(__GLIBC__) || \ (defined(__GLIBC__) && (!__GLIBC_PREREQ(2, 25) || !defined(_GNU_SOURCE))) +diff --git a/m4/ax_check_vscript.m4 b/m4/ax_check_vscript.m4 +new file mode 100644 +index 0000000..9851f32 +--- /dev/null ++++ b/m4/ax_check_vscript.m4 +@@ -0,0 +1,142 @@ ++# =========================================================================== ++# https://www.gnu.org/software/autoconf-archive/ax_check_vscript.html ++# =========================================================================== ++# ++# SYNOPSIS ++# ++# AX_CHECK_VSCRIPT ++# ++# DESCRIPTION ++# ++# Check whether the linker supports version scripts. Version scripts are ++# used when building shared libraries to bind symbols to version nodes ++# (helping to detect incompatibilities) or to limit the visibility of ++# non-public symbols. ++# ++# Output: ++# ++# If version scripts are supported, VSCRIPT_LDFLAGS will contain the ++# appropriate flag to pass to the linker. On GNU systems this would ++# typically be "-Wl,--version-script", and on Solaris it would typically ++# be "-Wl,-M". ++# ++# Two Automake conditionals are also set: ++# ++# HAVE_VSCRIPT is true if the linker supports version scripts with ++# entries that use simple wildcards, like "local: *". ++# ++# HAVE_VSCRIPT_COMPLEX is true if the linker supports version scripts with ++# pattern matching wildcards, like "global: Java_*". ++# ++# On systems that do not support symbol versioning, such as Mac OS X, both ++# conditionals will be false. They will also be false if the user passes ++# "--disable-symvers" on the configure command line. ++# ++# Example: ++# ++# configure.ac: ++# ++# AX_CHECK_VSCRIPT ++# ++# Makefile.am: ++# ++# if HAVE_VSCRIPT ++# libfoo_la_LDFLAGS += $(VSCRIPT_LDFLAGS),@srcdir@/libfoo.map ++# endif ++# ++# if HAVE_VSCRIPT_COMPLEX ++# libbar_la_LDFLAGS += $(VSCRIPT_LDFLAGS),@srcdir@/libbar.map ++# endif ++# ++# LICENSE ++# ++# Copyright (c) 2014 Kevin Cernekee <cernekee@gmail.com> ++# ++# Copying and distribution of this file, with or without modification, are ++# permitted in any medium without royalty provided the copyright notice ++# and this notice are preserved. This file is offered as-is, without any ++# warranty. ++ ++#serial 2 ++ ++# _AX_CHECK_VSCRIPT(flag, global-sym, action-if-link-succeeds, [junk-file=no]) ++AC_DEFUN([_AX_CHECK_VSCRIPT], [ ++ AC_LANG_PUSH([C]) ++ ax_check_vscript_save_flags="$LDFLAGS" ++ echo "V1 { global: $2; local: *; };" > conftest.map ++ AS_IF([test x$4 = xyes], [ ++ echo "{" >> conftest.map ++ ]) ++ LDFLAGS="$LDFLAGS -Wl,$1,conftest.map" ++ AC_LINK_IFELSE([AC_LANG_PROGRAM([[int show, hide;]], [])], [$3]) ++ LDFLAGS="$ax_check_vscript_save_flags" ++ rm -f conftest.map ++ AC_LANG_POP([C]) ++]) dnl _AX_CHECK_VSCRIPT ++ ++AC_DEFUN([AX_CHECK_VSCRIPT], [ ++ ++ AC_ARG_ENABLE([symvers], ++ AS_HELP_STRING([--disable-symvers], ++ [disable library symbol versioning [default=auto]]), ++ [want_symvers=$enableval], ++ [want_symvers=yes] ++ ) ++ ++ AS_IF([test x$want_symvers = xyes], [ ++ ++ dnl First test --version-script and -M with a simple wildcard. ++ ++ AC_CACHE_CHECK([linker version script flag], ax_cv_check_vscript_flag, [ ++ ax_cv_check_vscript_flag=unsupported ++ _AX_CHECK_VSCRIPT([--version-script], [show], [ ++ ax_cv_check_vscript_flag=--version-script ++ ]) ++ AS_IF([test x$ax_cv_check_vscript_flag = xunsupported], [ ++ _AX_CHECK_VSCRIPT([-M], [show], [ax_cv_check_vscript_flag=-M]) ++ ]) ++ ++ dnl The linker may interpret -M (no argument) as "produce a load map." ++ dnl If "-M conftest.map" doesn't fail when conftest.map contains ++ dnl obvious syntax errors, assume this is the case. ++ ++ AS_IF([test x$ax_cv_check_vscript_flag != xunsupported], [ ++ _AX_CHECK_VSCRIPT([$ax_cv_check_vscript_flag], [show], ++ [ax_cv_check_vscript_flag=unsupported], [yes]) ++ ]) ++ ]) ++ ++ dnl If the simple wildcard worked, retest with a complex wildcard. ++ ++ AS_IF([test x$ax_cv_check_vscript_flag != xunsupported], [ ++ ax_check_vscript_flag=$ax_cv_check_vscript_flag ++ AC_CACHE_CHECK([if version scripts can use complex wildcards], ++ ax_cv_check_vscript_complex_wildcards, [ ++ ax_cv_check_vscript_complex_wildcards=no ++ _AX_CHECK_VSCRIPT([$ax_cv_check_vscript_flag], [sh*], [ ++ ax_cv_check_vscript_complex_wildcards=yes]) ++ ]) ++ ax_check_vscript_complex_wildcards="$ax_cv_check_vscript_complex_wildcards" ++ ], [ ++ ax_check_vscript_flag= ++ ax_check_vscript_complex_wildcards=no ++ ]) ++ ], [ ++ AC_MSG_CHECKING([linker version script flag]) ++ AC_MSG_RESULT([disabled]) ++ ++ ax_check_vscript_flag= ++ ax_check_vscript_complex_wildcards=no ++ ]) ++ ++ AS_IF([test x$ax_check_vscript_flag != x], [ ++ VSCRIPT_LDFLAGS="-Wl,$ax_check_vscript_flag" ++ AC_SUBST([VSCRIPT_LDFLAGS]) ++ ]) ++ ++ AM_CONDITIONAL([HAVE_VSCRIPT], ++ [test x$ax_check_vscript_flag != x]) ++ AM_CONDITIONAL([HAVE_VSCRIPT_COMPLEX], ++ [test x$ax_check_vscript_complex_wildcards = xyes]) ++ ++]) dnl AX_CHECK_VSCRIPT diff --git a/src/Makefile.am b/src/Makefile.am -index f3cc0fa..3aaecd4 100644 +index 8384b92..e2c8e15 100644 --- a/src/Makefile.am +++ b/src/Makefile.am -@@ -56,8 +56,10 @@ libbsd_la_DEPENDENCIES = \ - libbsd_la_LIBADD = \ - $(CLOCK_GETTIME_LIBS) +@@ -65,8 +65,11 @@ libbsd_la_LIBADD = \ + $(ARC4RANDOM_ATFORK_LIBS) \ + $(nil) libbsd_la_LDFLAGS = \ - -Wl,--version-script=$(srcdir)/libbsd.map \ -version-number $(LIBBSD_ABI) -+if BUILD_LINK_VERSION_SCRIPT -+libbsd_la_LDFLAGS += -Wl,--version-script=$(srcdir)/libbsd.map ++if HAVE_VSCRIPT ++libbsd_la_LDFLAGS += $(VSCRIPT_LDFLAGS),@srcdir@/libbsd.map +endif ++ libbsd_la_SOURCES = \ arc4random.c \ arc4random.h \ @@ -175,23 +320,28 @@ index b3c8d5e..602f54e 100644 #include <string.h> diff --git a/src/local-link.h b/src/local-link.h -index d518dcf..84694a2 100644 +index 0d4351a..fc520af 100644 --- a/src/local-link.h +++ b/src/local-link.h -@@ -27,7 +27,11 @@ +@@ -27,6 +27,11 @@ #ifndef LIBBSD_LOCAL_LINK_H #define LIBBSD_LOCAL_LINK_H +#ifdef __MACH__ +#define libbsd_link_warning(symbol, msg) ++#define libbsd_symver_default(alias, symbol, version) ++#define libbsd_symver_variant(alias, symbol, version) +#else #define libbsd_link_warning(symbol, msg) \ static const char libbsd_emit_link_warning_##symbol[] \ - __attribute__((used,section(".gnu.warning." #symbol))) = msg; + __attribute__((__used__,__section__(".gnu.warning." #symbol))) = msg; +@@ -45,3 +50,4 @@ + #endif + #endif +#endif diff --git a/src/nlist.c b/src/nlist.c -index 0932f59..4502048 100644 +index 228c220..46c2d77 100644 --- a/src/nlist.c +++ b/src/nlist.c @@ -41,6 +41,7 @@ @@ -202,7 +352,7 @@ index 0932f59..4502048 100644 #include "local-elf.h" #define SIZE_T_MAX 0xffffffffU -@@ -265,3 +266,4 @@ nlist(const char *name, struct nlist *list) +@@ -280,3 +281,4 @@ nlist(const char *name, struct nlist *list) (void)close(fd); return (n); } @@ -227,12 +377,12 @@ index f9f6195..2bc5fb4 100644 static void handler(int); diff --git a/src/setproctitle.c b/src/setproctitle.c -index 038ac7d..e9ee09c 100644 +index ff32aa3..51ed833 100644 --- a/src/setproctitle.c +++ b/src/setproctitle.c -@@ -32,6 +32,10 @@ - #include <unistd.h> +@@ -33,6 +33,10 @@ #include <string.h> + #include "local-link.h" +#ifdef __MACH__ +extern char **environ; @@ -241,30 +391,16 @@ index 038ac7d..e9ee09c 100644 static struct { /* Original value. */ const char *arg0; -@@ -280,16 +284,22 @@ setproctitle_impl(const char *fmt, ...) - *++nul = '\0'; - } - } -+#ifndef __MACH__ - __asm__(".symver setproctitle_impl,setproctitle@@LIBBSD_0.5"); -+#endif - - /* The original function introduced in 0.2 was a stub, it only got implemented +@@ -287,7 +291,8 @@ libbsd_symver_default(setproctitle, setproctitle_impl, LIBBSD_0.5); * in 0.5, make the implementation available in the old version as an alias * for code linking against that version, and change the default to use the * new version, so that new code depends on the implemented version. */ -#ifdef HAVE_TYPEOF +#ifdef __MACH__ -+void setproctitle_stub(const char *fmt, ...); -+#elif HAVE_TYPEOF - extern typeof(setproctitle_impl) setproctitle_stub __attribute__((alias("setproctitle_impl"))); - #else - void setproctitle_stub(const char *fmt, ...) - __attribute__((alias("setproctitle_impl"))); - #endif -+#ifndef __MACH__ - __asm__(".symver setproctitle_stub,setproctitle@LIBBSD_0.2"); -+#endif ++#elif defined(HAVE_TYPEOF) + extern __typeof__(setproctitle_impl) + setproctitle_stub + __attribute__((__alias__("setproctitle_impl"))); diff --git a/src/strlcat.c b/src/strlcat.c index 14c53a1..5961c17 100644 --- a/src/strlcat.c @@ -316,46 +452,3 @@ index e6afde5..da680c9 100644 *p = '\0'; } +#endif -diff --git a/src/unvis.c b/src/unvis.c -index 94e3e7a..fba4c66 100644 ---- a/src/unvis.c -+++ b/src/unvis.c -@@ -565,11 +565,15 @@ strnunvis_openbsd(char *dst, const char *src, size_t dlen) - { - return strnunvisx(dst, dlen, src, 0); - } -+#ifndef __MACH__ - __asm__(".symver strnunvis_openbsd,strnunvis@@LIBBSD_0.2"); -+#endif - - int - strnunvis_netbsd(char *dst, size_t dlen, const char *src) - { - return strnunvisx(dst, dlen, src, 0); - } -+#ifndef __MACH__ - __asm__(".symver strnunvis_netbsd,strnunvis@LIBBSD_0.9.1"); -+#endif -diff --git a/src/vis.c b/src/vis.c -index c2cd2d8..2d84330 100644 ---- a/src/vis.c -+++ b/src/vis.c -@@ -723,14 +723,18 @@ strnvis_openbsd(char *mbdst, const char *mbsrc, size_t dlen, int flags) - { - return istrsenvisxl(&mbdst, &dlen, mbsrc, flags, "", NULL); - } -+#ifndef __MACH__ - __asm__(".symver strnvis_openbsd,strnvis@@LIBBSD_0.2"); -+#endif - - int - strnvis_netbsd(char *mbdst, size_t dlen, const char *mbsrc, int flags) - { - return istrsenvisxl(&mbdst, &dlen, mbsrc, flags, "", NULL); - } -+#ifndef __MACH__ - __asm__(".symver strnvis_netbsd,strnvis@LIBBSD_0.9.1"); -+#endif - - int - stravis(char **mbdstp, const char *mbsrc, int flags) diff --git a/nixpkgs/pkgs/development/libraries/libbsd/default.nix b/nixpkgs/pkgs/development/libraries/libbsd/default.nix index 60c3505f9a1..e251295a4c7 100644 --- a/nixpkgs/pkgs/development/libraries/libbsd/default.nix +++ b/nixpkgs/pkgs/development/libraries/libbsd/default.nix @@ -13,9 +13,7 @@ stdenv.mkDerivation rec { # the configure scripts nativeBuildInputs = [ autoreconfHook ]; - patches = stdenv.lib.optional stdenv.isDarwin ./darwin.patch - # Suitable for all but limited to musl to avoid rebuild - ++ stdenv.lib.optional stdenv.hostPlatform.isMusl ./musl.patch; + patches = [ ./darwin.patch ]; meta = with stdenv.lib; { description = "Common functions found on BSD systems"; diff --git a/nixpkgs/pkgs/development/libraries/libbsd/musl.patch b/nixpkgs/pkgs/development/libraries/libbsd/musl.patch deleted file mode 100644 index fc07cfb6e85..00000000000 --- a/nixpkgs/pkgs/development/libraries/libbsd/musl.patch +++ /dev/null @@ -1,14 +0,0 @@ -Source: maxice8 -Upstream: no -Reason: fixes compilation - ---- a/src/flopen.c -+++ b/src/flopen.c -@@ -34,6 +34,7 @@ - #include <errno.h> - #include <stdarg.h> - #include <unistd.h> -+#include <fcntl.h> - - #include <libutil.h> - diff --git a/nixpkgs/pkgs/development/libraries/libco-canonical/default.nix b/nixpkgs/pkgs/development/libraries/libco-canonical/default.nix new file mode 100644 index 00000000000..09c27ae7c9c --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/libco-canonical/default.nix @@ -0,0 +1,28 @@ +{ stdenv, fetchFromGitHub, pkgconfig }: + +with stdenv.lib; + +stdenv.mkDerivation rec { + pname = "libco-canonical"; + version = "19.1"; + + src = fetchFromGitHub { + owner = "canonical"; + repo = "libco"; + rev = "v${version}"; + sha256 = "03a0fq8f8gc4hjzcf0zsjib4mzag47rxrrg9b5r6bx53vj5rhj78"; + }; + + nativeBuildInputs = [ pkgconfig ]; + + makeFlags = [ "PREFIX=${placeholder "out"}" ]; + + outputs = [ "dev" "out" ]; + + meta = { + description = "A cooperative multithreading library written in C89"; + homepage = "https://github.com/canonical/libco"; + license = licenses.isc; + maintainers = with maintainers; [ wucke13 ]; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/libgda/default.nix b/nixpkgs/pkgs/development/libraries/libgda/default.nix index 27032607996..a1871f6b2e6 100644 --- a/nixpkgs/pkgs/development/libraries/libgda/default.nix +++ b/nixpkgs/pkgs/development/libraries/libgda/default.nix @@ -15,9 +15,18 @@ assert postgresSupport -> postgresql != null; url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; sha256 = "16vxv2qvysh22s8h9h6irx96sacagxkz0i4qgi1wc6ibly6fvjjr"; }; - configureFlags = with stdenv.lib; [ "--enable-gi-system-install=no" ] - ++ (optional (mysqlSupport) "--with-mysql=yes") - ++ (optional (postgresSupport) "--with-postgres=yes"); + configureFlags = with stdenv.lib; [ + "--enable-gi-system-install=no" + "--with-mysql=${if mysqlSupport then "yes" else "no"}" + "--with-postgres=${if postgresSupport then "yes" else "no"}" + + # macOS builds use the sqlite source code that comes with libgda, + # as opposed to using the system or brewed sqlite3, which is not supported on macOS, + # as mentioned in https://github.com/GNOME/libgda/blob/95eeca4b0470f347c645a27f714c62aa6e59f820/libgda/sqlite/README#L31, + # which references the paper https://web.archive.org/web/20100610151539/http://lattice.umiacs.umd.edu/files/functions_tr.pdf + # See also https://github.com/Homebrew/homebrew-core/blob/104f9ecd02854a82372b64d63d41356555378a52/Formula/libgda.rb + "--enable-system-sqlite=${if stdenv.isDarwin then "no" else "yes"}" + ]; enableParallelBuilding = true; @@ -39,6 +48,6 @@ assert postgresSupport -> postgresql != null; homepage = https://www.gnome-db.org/; license = [ licenses.lgpl2 licenses.gpl2 ]; maintainers = gnome3.maintainers; - platforms = platforms.linux; + platforms = platforms.linux ++ platforms.darwin; }; } diff --git a/nixpkgs/pkgs/development/libraries/libgweather/default.nix b/nixpkgs/pkgs/development/libraries/libgweather/default.nix index 65f3592eba0..e51a10cfe8a 100644 --- a/nixpkgs/pkgs/development/libraries/libgweather/default.nix +++ b/nixpkgs/pkgs/development/libraries/libgweather/default.nix @@ -3,13 +3,13 @@ stdenv.mkDerivation rec { pname = "libgweather"; - version = "3.33.92"; + version = "3.34.0"; outputs = [ "out" "dev" "devdoc" ]; src = fetchurl { url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "1w9y79lrl0ablbxr3zpgkgad1296z3nxh1573icx26fwaklyakkz"; + sha256 = "1fgiqswkhiaijanml3mb16ajn5aanrk7x6yiwagp9n9rssam6902"; }; nativeBuildInputs = [ meson ninja pkgconfig gettext vala gtk-doc docbook_xsl docbook_xml_dtd_43 gobject-introspection python3 ]; diff --git a/nixpkgs/pkgs/development/libraries/libheif/default.nix b/nixpkgs/pkgs/development/libraries/libheif/default.nix index 02c4dd33481..f69241ad552 100644 --- a/nixpkgs/pkgs/development/libraries/libheif/default.nix +++ b/nixpkgs/pkgs/development/libraries/libheif/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "libheif"; - version = "1.5.0"; + version = "1.5.1"; src = fetchFromGitHub { owner = "strukturag"; repo = "libheif"; rev = "v${version}"; - sha256 = "1nvfjmnha06689imm8v24nlc011814gc9xq3x54cnmqvh5gn98ah"; + sha256 = "0x6207hiy15k2696476qx9jcbzs90fq8cfv4jw6hi14w4wzq89kr"; }; nativeBuildInputs = [ autoreconfHook pkgconfig ]; diff --git a/nixpkgs/pkgs/development/libraries/libmaxminddb/default.nix b/nixpkgs/pkgs/development/libraries/libmaxminddb/default.nix index 46ed250b7b1..2fa162b7e8b 100644 --- a/nixpkgs/pkgs/development/libraries/libmaxminddb/default.nix +++ b/nixpkgs/pkgs/development/libraries/libmaxminddb/default.nix @@ -12,7 +12,7 @@ stdenv.mkDerivation rec { meta = with stdenv.lib; { description = "C library for working with MaxMind geolocation DB files"; homepage = https://github.com/maxmind/libmaxminddb; - license = licenses.apsl20; + license = licenses.asl20; platforms = platforms.all; maintainers = [ maintainers.vcunat ]; }; diff --git a/nixpkgs/pkgs/development/libraries/libressl/default.nix b/nixpkgs/pkgs/development/libraries/libressl/default.nix index 4bfa6cd0b8e..21e82c7becd 100644 --- a/nixpkgs/pkgs/development/libraries/libressl/default.nix +++ b/nixpkgs/pkgs/development/libraries/libressl/default.nix @@ -1,8 +1,8 @@ -{ stdenv, fetchurl, lib, cmake, cacert }: +{ stdenv, fetchurl, lib, cmake, cacert, fetchpatch, buildShared ? true }: let - generic = { version, sha256 }: stdenv.mkDerivation rec { + generic = { version, sha256, patches ? [] }: stdenv.mkDerivation rec { pname = "libressl"; inherit version; @@ -15,13 +15,14 @@ let cmakeFlags = [ "-DENABLE_NC=ON" - "-DBUILD_SHARED_LIBS=ON" # Ensure that the output libraries do not require an executable stack. # Without this define, assembly files in libcrypto do not include a # .note.GNU-stack section, and if that section is missing from any object, # the linker will make the stack executable. "-DCMAKE_C_FLAGS=-DHAVE_GNU_STACK" - ]; + # libressl will append this to the regular prefix for libdir + "-DCMAKE_INSTALL_LIBDIR=lib" + ] ++ lib.optional buildShared "-DBUILD_SHARED_LIBS=ON"; # The autoconf build is broken as of 2.9.1, resulting in the following error: # libressl-2.9.1/tls/.libs/libtls.a', needed by 'handshake_table'. @@ -31,6 +32,8 @@ let rm configure ''; + inherit patches; + # Since 2.9.x the default location can't be configured from the build using # DEFAULT_CA_FILE anymore, instead we have to patch the default value. postPatch = lib.optionalString (lib.versionAtLeast version "2.9.2") '' @@ -69,10 +72,16 @@ in { libressl_2_9 = generic { version = "2.9.2"; sha256 = "1m6mz515dcbrbnyz8hrpdfjzdmj1c15vbgnqxdxb89g3z9kq3iy4"; + patches = stdenv.lib.optional stdenv.hostPlatform.isMusl [ + (fetchpatch { + url = "https://github.com/libressl-portable/portable/pull/529/commits/a747aacc23607c993cc481378782b2c7dd5bc53b.patch"; + sha256 = "0wbrcscdkjpk4mhh7f3saghi4smia4lhf7fl6la3ahhgx1krn5zm"; + }) + ]; }; libressl_3_0 = generic { - version = "3.0.0"; - sha256 = "0xiwri6xcnl3wb5nbc4aw8pv32s3hp13r9v465yr8wykaw211n81"; + version = "3.0.1"; + sha256 = "1js3fddxwzafiyspif0bwmvpp1fz98mkv10q5xaagqzvjw8xnsk4"; }; } diff --git a/nixpkgs/pkgs/development/libraries/liburing/default.nix b/nixpkgs/pkgs/development/libraries/liburing/default.nix index 855c6ce280e..d02016e87e6 100644 --- a/nixpkgs/pkgs/development/libraries/liburing/default.nix +++ b/nixpkgs/pkgs/development/libraries/liburing/default.nix @@ -4,54 +4,14 @@ stdenv.mkDerivation rec { pname = "liburing"; - version = "0.1"; + version = "0.2pre252_${builtins.substring 0 8 src.rev}"; src = fetchgit { url = "http://git.kernel.dk/liburing"; - rev = "refs/tags/liburing-${version}"; - sha256 = "038iqsbm9bdmlwvmb899bc6g1rw5dalr990azynbvgn8qs5adysh"; + rev = "a9bb08db3f8795eb58239d5dbb888e9c1d424011"; + sha256 = "0gv06fcgqhfkqgiqzjb4qzpxh3h595ypw01a0kmhqnmsnvmb624n"; }; - patches = [ - - # This patch re-introduces support for aarch64-linux, by adding the - # necessary memory barrier primitives for it to work. - # - # Already upstream: remove when moving to the next version - (fetchpatch { - url = "http://git.kernel.dk/cgit/liburing/patch/?id=0520db454c29f1d96cda6cf6cedeb93df65301e8"; - sha256 = "1i8133sb1imzxpplmhlhnaxkffgplhj40vanivc6clbibvhgwpq6"; - }) - - # This patch shuffles the name of the io_uring memory barrier primitives. - # They were using extremely common names by accident, which caused - # namespace conflicts with many other projects using the same names. Note: - # this does not change the user-visible API of liburing (liburing is - # designed exactly to hide the necessary memory barriers when using the - # io_uring syscall directly). It only changes the names of some internals. - # The only reason this caused problems at all is because memory barrier - # primitives are written as preprocessor defines, in a common header file, - # which get included unilaterally. - # - # Already upstream: remove when moving to the next version - (fetchpatch { - url = "http://git.kernel.dk/cgit/liburing/patch/?id=552c6a08d04c74d20eeaa86f535bfd553b352370"; - sha256 = "123d6jdqfy7b8aq9f6ax767n48hhbx6pln3nlrp623595i8zz3wf"; - }) - - # Finally, this patch fixes the aarch64-linux support introduced by the - # first patch, but which was _broken_ by the second patch, in a horrid - # twist of fate: it neglected to change the names of the aarch64 barriers - # appropriately. - # - # Already upstream: remove when moving to the next version - (fetchpatch { - url = "http://git.kernel.dk/cgit/liburing/patch/?id=6e9dd0c8c50b5988a0c77532c9c2bd6afd4790d2"; - sha256 = "11mqa1bp2pdfqh08gpcd98kg7lh3rrng41b4l1wvhxdbvg5rfw9c"; - }) - - ]; - separateDebugInfo = true; enableParallelBuilding = true; @@ -70,6 +30,7 @@ stdenv.mkDerivation rec { mkdir -p $out/bin cp ./examples/io_uring-cp examples/io_uring-test $out/bin cp ./examples/link-cp $out/bin/io_uring-link-cp + cp ./examples/ucontext-cp $out/bin/io_uring-ucontext-cp ''; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/libraries/libuv/default.nix b/nixpkgs/pkgs/development/libraries/libuv/default.nix index 07f99a058d8..f9b49a46ebf 100644 --- a/nixpkgs/pkgs/development/libraries/libuv/default.nix +++ b/nixpkgs/pkgs/development/libraries/libuv/default.nix @@ -1,14 +1,14 @@ { stdenv, lib, fetchFromGitHub, autoconf, automake, libtool, pkgconfig, ApplicationServices, CoreServices }: stdenv.mkDerivation rec { - version = "1.30.1"; + version = "1.32.0"; pname = "libuv"; src = fetchFromGitHub { owner = pname; repo = pname; rev = "v${version}"; - sha256 = "16l207g9qwckxn0vnbnwiybhw6083imdwyfd6ipfsl44b1m8jmf7"; + sha256 = "1ifazxr5ssw2ay6j66acaxgfwq0x8130fvsyjs1wxvf2r9g4ds9w"; }; postPatch = let diff --git a/nixpkgs/pkgs/development/libraries/libwacom/default.nix b/nixpkgs/pkgs/development/libraries/libwacom/default.nix index a4f0a44e60b..7d7dd94eff4 100644 --- a/nixpkgs/pkgs/development/libraries/libwacom/default.nix +++ b/nixpkgs/pkgs/development/libraries/libwacom/default.nix @@ -1,17 +1,22 @@ -{ stdenv, fetchFromGitHub, autoreconfHook, glib, pkgconfig, udev, libgudev }: +{ stdenv, fetchFromGitHub, meson, ninja, glib, pkgconfig, udev, libgudev }: stdenv.mkDerivation rec { pname = "libwacom"; - version = "0.33"; + version = "1.1"; + + outputs = [ "out" "dev" ]; src = fetchFromGitHub { owner = "linuxwacom"; repo = "libwacom"; rev = "libwacom-${version}"; - sha256 = "0np0a7rpnlm9iqw1i8ycz5mprin6bb99p4h522v9vjk4lhzsp34m"; + sha256 = "037vnyfg7nim6h3f4m04w6a9pr6hi04df14qpys580kf5xnf87nz"; }; - nativeBuildInputs = [ pkgconfig autoreconfHook ]; + nativeBuildInputs = [ pkgconfig meson ninja ]; + + mesonFlags = [ "-Dtests=false" ]; + buildInputs = [ glib udev libgudev ]; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/libraries/libwhereami/default.nix b/nixpkgs/pkgs/development/libraries/libwhereami/default.nix index cafd25ede0a..a6715bdc18d 100644 --- a/nixpkgs/pkgs/development/libraries/libwhereami/default.nix +++ b/nixpkgs/pkgs/development/libraries/libwhereami/default.nix @@ -2,10 +2,10 @@ stdenv.mkDerivation rec { pname = "libwhereami"; - version = "0.2.2"; + version = "0.3.0"; src = fetchFromGitHub { - sha256 = "084n153jaq8fmhjififk0xlx1d1i3lclnw2j3ly8bixvc392vzly"; + sha256 = "0yq6m4kh06idp3l8cp7kswn5k8vcaip1zqhypbhszybqa0afb5az"; rev = version; repo = "libwhereami"; owner = "puppetlabs"; @@ -24,8 +24,7 @@ stdenv.mkDerivation rec { description = "Library to report hypervisor information from inside a VM"; license = licenses.asl20; maintainers = [ maintainers.womfoo ]; - platforms = platforms.linux; - badPlatforms = platforms.arm; + platforms = with platforms; [ "i686-linux" "x86_64-linux" ]; # fails on aarch64 }; } diff --git a/nixpkgs/pkgs/development/libraries/libxmlb/default.nix b/nixpkgs/pkgs/development/libraries/libxmlb/default.nix index 4800a6c405c..0469cf13b0c 100644 --- a/nixpkgs/pkgs/development/libraries/libxmlb/default.nix +++ b/nixpkgs/pkgs/development/libraries/libxmlb/default.nix @@ -1,6 +1,5 @@ { stdenv , fetchFromGitHub -, fetchpatch , docbook_xml_dtd_43 , docbook_xsl , glib @@ -17,7 +16,7 @@ stdenv.mkDerivation rec { pname = "libxmlb"; - version = "0.1.11"; + version = "0.1.13"; outputs = [ "out" "lib" "dev" "devdoc" "installedTests" ]; @@ -25,17 +24,10 @@ stdenv.mkDerivation rec { owner = "hughsie"; repo = "libxmlb"; rev = version; - sha256 = "1503v76w7543snqyjxykiqa5va62zb0ccn3jlw0gpdx8973v80mr"; + sha256 = "14bk7bk08mjbildak1l7jq7idcyask7384vigpq9zmwai1gax4s7"; }; patches = [ - # Fix installed tests - # https://github.com/hughsie/libxmlb/pull/2 - (fetchpatch { - url = "https://github.com/hughsie/libxmlb/commit/78850c8b0f644f729fa21e2bf9ebed0d9d6010f3.diff"; - sha256 = "0zw7c6vy8hscln7za7ijqd9svirach3zdskvbzyxxcsm3xcwxpjm"; - }) - ./installed-tests-path.patch ]; diff --git a/nixpkgs/pkgs/development/libraries/opencl-clang/default.nix b/nixpkgs/pkgs/development/libraries/opencl-clang/default.nix new file mode 100644 index 00000000000..50794863def --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/opencl-clang/default.nix @@ -0,0 +1,97 @@ +{ stdenv +, fetchFromGitHub +, fetchpatch +, cmake +, git + +, llvmPackages_8 +, spirv-llvm-translator + +, buildWithPatches ? true +}: + +let + llvmPkgs = llvmPackages_8 // { + inherit spirv-llvm-translator; + }; + + inherit (stdenv.lib) getVersion; + + addPatches = component: pkg: + with builtins; with stdenv.lib; + let path = "${passthru.patchesOut}/${component}"; + in pkg.overrideAttrs (super: { + postPatch = (if super ? postPatch then super.postPatch + "\n" else "") + '' + for p in ${path}/* + do + patch -p1 -i "$p" + done + ''; + }); + + passthru = rec { + + clang-unwrapped = addPatches "clang" llvmPkgs.clang-unwrapped; + + clang = llvmPkgs.clang.override { + cc = clang-unwrapped; + }; + + patchesOut = stdenv.mkDerivation rec { + pname = "opencl-clang-patches"; + inherit (lib) version src patches; + installPhase = '' + [ -d patches ] && cp -r patches/ $out || mkdir $out + mkdir -p $out/clang $out/spirv + ''; + }; + + spirv-llvm-translator = addPatches "spirv" llvmPkgs.spirv-llvm-translator; + + }; + + lib = let + inherit (llvmPkgs) llvm; + inherit (if buildWithPatches then passthru else llvmPkgs) clang-unwrapped spirv-llvm-translator; + in + stdenv.mkDerivation rec { + pname = "opencl-clang"; + version = "unstable-2019-08-16"; + + inherit passthru; + + src = fetchFromGitHub { + owner = "intel"; + repo = "opencl-clang"; + rev = "94af090661d7c953c516c97a25ed053c744a0737"; + sha256 = "05cg89m62nqjqm705h7gpdz4jd4hiczg8944dcjsvaybrqv3hcm5"; + }; + + patches = [ + # Build script tries to find Clang OpenCL headers under ${llvm} + # Work around it by specifying that directory manually. + ./opencl-headers-dir.patch + ]; + + nativeBuildInputs = [ cmake git ]; + + buildInputs = [ clang-unwrapped llvm spirv-llvm-translator ]; + + cmakeFlags = [ + "-DPREFERRED_LLVM_VERSION=${getVersion llvm}" + "-DOPENCL_HEADERS_DIR=${clang-unwrapped}/lib/clang/${getVersion clang-unwrapped}/include/" + + "-DLLVMSPIRV_INCLUDED_IN_LLVM=OFF" + "-DSPIRV_TRANSLATOR_DIR=${spirv-llvm-translator}" + ]; + + meta = with stdenv.lib; { + homepage = https://github.com/intel/opencl-clang/; + description = "A clang wrapper library with an OpenCL-oriented API and the ability to compile OpenCL C kernels to SPIR-V modules"; + license = licenses.ncsa; + platforms = platforms.all; + maintainers = with maintainers; [ gloaming ]; + }; + }; +in + lib diff --git a/nixpkgs/pkgs/development/libraries/opencl-clang/opencl-headers-dir.patch b/nixpkgs/pkgs/development/libraries/opencl-clang/opencl-headers-dir.patch new file mode 100644 index 00000000000..70343b8ee19 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/opencl-clang/opencl-headers-dir.patch @@ -0,0 +1,25 @@ +diff --git a/cl_headers/CMakeLists.txt b/cl_headers/CMakeLists.txt +index 3dd2ea4..aeae6e9 100644 +--- a/cl_headers/CMakeLists.txt ++++ b/cl_headers/CMakeLists.txt +@@ -11,12 +11,14 @@ add_custom_command( + ) + endfunction(copy_file) + +-if(USE_PREBUILT_LLVM) +- set(OPENCL_HEADERS_DIR +- "${LLVM_LIBRARY_DIRS}/clang/${LLVM_VERSION_MAJOR}.${LLVM_VERSION_MINOR}.${LLVM_VERSION_PATCH}/include/") +-else(USE_PREBUILT_LLVM) +- set(OPENCL_HEADERS_DIR "${CLANG_SOURCE_DIR}/lib/Headers") +-endif(USE_PREBUILT_LLVM) ++if(NOT DEFINED OPENCL_HEADERS_DIR) ++ if(USE_PREBUILT_LLVM) ++ set(OPENCL_HEADERS_DIR ++ "${LLVM_LIBRARY_DIRS}/clang/${LLVM_VERSION_MAJOR}.${LLVM_VERSION_MINOR}.${LLVM_VERSION_PATCH}/include/") ++ else(USE_PREBUILT_LLVM) ++ set(OPENCL_HEADERS_DIR "${CLANG_SOURCE_DIR}/lib/Headers") ++ endif(USE_PREBUILT_LLVM) ++endif() + copy_file(${OPENCL_HEADERS_DIR}/opencl-c.h opencl-c.h) + + add_custom_target ( diff --git a/nixpkgs/pkgs/development/libraries/opencsg/default.nix b/nixpkgs/pkgs/development/libraries/opencsg/default.nix index 1fdddf930cf..724c0d7c764 100644 --- a/nixpkgs/pkgs/development/libraries/opencsg/default.nix +++ b/nixpkgs/pkgs/development/libraries/opencsg/default.nix @@ -22,7 +22,7 @@ stdenv.mkDerivation rec { preConfigure = '' rm example/Makefile src/Makefile - qmakeFlags="$qmakeFlags INSTALLDIR=$out" + qmakeFlags=("''${qmakeFlags[@]}" "INSTALLDIR=$out") ''; postInstall = '' diff --git a/nixpkgs/pkgs/development/libraries/openxr-loader/default.nix b/nixpkgs/pkgs/development/libraries/openxr-loader/default.nix index 8013d686585..ec4e1b5e837 100644 --- a/nixpkgs/pkgs/development/libraries/openxr-loader/default.nix +++ b/nixpkgs/pkgs/development/libraries/openxr-loader/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, cmake, python3, libX11, libXxf86vm, libXrandr }: +{ stdenv, fetchFromGitHub, cmake, python3, libX11, libXxf86vm, libXrandr, vulkan-headers, libGL }: stdenv.mkDerivation rec { pname = "openxr-loader"; @@ -12,7 +12,7 @@ stdenv.mkDerivation rec { }; nativeBuildInputs = [ cmake python3 ]; - buildInputs = [ libX11 libXxf86vm libXrandr ]; + buildInputs = [ libX11 libXxf86vm libXrandr vulkan-headers libGL ]; enableParallelBuilding = true; cmakeFlags = [ "-DBUILD_TESTS=OFF" ]; diff --git a/nixpkgs/pkgs/development/libraries/oracle-instantclient/default.nix b/nixpkgs/pkgs/development/libraries/oracle-instantclient/default.nix index a7865fc7f4b..aba9c75ad46 100644 --- a/nixpkgs/pkgs/development/libraries/oracle-instantclient/default.nix +++ b/nixpkgs/pkgs/development/libraries/oracle-instantclient/default.nix @@ -53,7 +53,7 @@ let shortArch = { x86_64-linux = "linux"; - x86_64-darwin = "macos"; + x86_64-darwin = "mac"; }.${stdenv.hostPlatform.system} or throwSystem; # calculate the filename of a single zip file diff --git a/nixpkgs/pkgs/development/libraries/physics/geant4/datasets-hook.sh b/nixpkgs/pkgs/development/libraries/physics/geant4/datasets-hook.sh index 14e3b8f2fc3..8aed8b8832b 100644 --- a/nixpkgs/pkgs/development/libraries/physics/geant4/datasets-hook.sh +++ b/nixpkgs/pkgs/development/libraries/physics/geant4/datasets-hook.sh @@ -1,5 +1,5 @@ @name@ () { - export G4@envvar@DATA="@out@/data" + export G4@envvar@DATA="@datadir@" } postHooks+=(@name@) diff --git a/nixpkgs/pkgs/development/libraries/physics/geant4/datasets.nix b/nixpkgs/pkgs/development/libraries/physics/geant4/datasets.nix index 88f2dc2cd6d..22fda0cf980 100644 --- a/nixpkgs/pkgs/development/libraries/physics/geant4/datasets.nix +++ b/nixpkgs/pkgs/development/libraries/physics/geant4/datasets.nix @@ -1,12 +1,13 @@ -{ stdenv, fetchurl, }: +{ stdenv, fetchurl, geant_version }: let - mkDataset = { name, version, sha256, envvar}: + mkDataset = { name, version, sha256, envvar }: stdenv.mkDerivation { inherit name version; + inherit geant_version; src = fetchurl { - url = "https://geant4-data.web.cern.ch/geant4-data/datasets/${name}.${version}.tar.gz"; + url = "https://cern.ch/geant4-data/datasets/${name}.${version}.tar.gz"; inherit sha256; }; @@ -14,9 +15,10 @@ let dontBuild = true; dontConfigure = true; + datadir = "${placeholder "out"}/share/Geant4-${geant_version}/data/${name}${version}"; installPhase = '' - mkdir -p $out/data - mv ./* $out/data + mkdir -p $datadir + mv ./* $datadir ''; inherit envvar; @@ -40,37 +42,37 @@ in { name = "G4EMLOW"; - version = "7.3"; - sha256 = "583aa7f34f67b09db7d566f904c54b21e95a9ac05b60e2bfb794efb569dba14e"; + version = "7.7"; + sha256 = "16dec6adda6477a97424d749688d73e9bd7d0b84d0137a67cf341f1960984663"; envvar = "LE"; } { name = "G4PhotonEvaporation"; - version = "5.2"; - sha256 = "83607f8d36827b2a7fca19c9c336caffbebf61a359d0ef7cee44a8bcf3fc2d1f"; + version = "5.3"; + sha256 = "d47ababc8cbe548065ef644e9bd88266869e75e2f9e577ebc36bc55bf7a92ec8"; envvar = "LEVELGAMMA"; } { name = "G4RadioactiveDecay"; - version = "5.2"; - sha256 = "99c038d89d70281316be15c3c98a66c5d0ca01ef575127b6a094063003e2af5d"; + version = "5.3"; + sha256 = "5c8992ac57ae56e66b064d3f5cdfe7c2fee76567520ad34a625bfb187119f8c1"; envvar = "RADIOACTIVE"; } { name = "G4SAIDDATA"; - version = "1.1"; - sha256 = "a38cd9a83db62311922850fe609ecd250d36adf264a88e88c82ba82b7da0ed7f"; + version = "2.0"; + sha256 = "1d26a8e79baa71e44d5759b9f55a67e8b7ede31751316a9e9037d80090c72e91"; envvar = "SAIDXS"; } { - name = "G4NEUTRONXS"; - version = "1.4"; - sha256 = "57b38868d7eb060ddd65b26283402d4f161db76ed2169437c266105cca73a8fd"; - envvar = "NEUTRONXS"; + name = "G4PARTICLEXS"; + version = "1.1"; + sha256 = "100a11c9ed961152acfadcc9b583a9f649dda4e48ab314fcd4f333412ade9d62"; + envvar = "PARTICLEXS"; } { @@ -81,6 +83,13 @@ in } { + name = "G4INCL"; + version = "1.0"; + sha256 = "716161821ae9f3d0565fbf3c2cf34f4e02e3e519eb419a82236eef22c2c4367d"; + envvar = "INCL"; + } + + { name = "G4PII"; version = "1.3"; sha256 = "6225ad902675f4381c98c6ba25fc5a06ce87549aa979634d3d03491d6616e926"; diff --git a/nixpkgs/pkgs/development/libraries/physics/geant4/default.nix b/nixpkgs/pkgs/development/libraries/physics/geant4/default.nix index 4ec11777785..96715882c23 100644 --- a/nixpkgs/pkgs/development/libraries/physics/geant4/default.nix +++ b/nixpkgs/pkgs/development/libraries/physics/geant4/default.nix @@ -35,13 +35,13 @@ , libXmu }: -stdenv.mkDerivation { - version = "10.4.1"; +stdenv.mkDerivation rec { + version = "10.5.1"; pname = "geant4"; src = fetchurl{ - url = "http://cern.ch/geant4-data/releases/geant4.10.04.p01.tar.gz"; - sha256 = "a3eb13e4f1217737b842d3869dc5b1fb978f761113e74bd4eaf6017307d234dd"; + url = "http://cern.ch/geant4-data/releases/geant4.10.05.p01.tar.gz"; + sha256 = "f4a292220500fad17e0167ce3153e96e3410ecbe96284e572dc707f63523bdff"; }; cmakeFlags = [ @@ -78,7 +78,10 @@ stdenv.mkDerivation { setupHook = ./geant4-hook.sh; passthru = { - data = import ./datasets.nix { inherit stdenv fetchurl; }; + data = import ./datasets.nix { + inherit stdenv fetchurl; + geant_version = version; + }; }; # Set the myriad of envars required by Geant4 if we use a nix-shell. @@ -95,7 +98,7 @@ stdenv.mkDerivation { ''; homepage = http://www.geant4.org; license = licenses.g4sl; - maintainers = with maintainers; [ tmplt ]; + maintainers = with maintainers; [ tmplt omnipotententity ]; platforms = platforms.linux; }; } diff --git a/nixpkgs/pkgs/development/libraries/physics/geant4/g4py/default.nix b/nixpkgs/pkgs/development/libraries/physics/geant4/g4py/default.nix index 3d6891c48e2..dddd7078b86 100644 --- a/nixpkgs/pkgs/development/libraries/physics/geant4/g4py/default.nix +++ b/nixpkgs/pkgs/development/libraries/physics/geant4/g4py/default.nix @@ -18,7 +18,7 @@ stdenv.mkDerivation { inherit (geant4_nomt) version src; pname = "g4py"; - sourceRoot = "geant4.10.04.p01/environments/g4py"; + sourceRoot = "geant4.10.05.p01/environments/g4py"; nativeBuildInputs = [ cmake ]; buildInputs = [ geant4_nomt xercesc boost_python python ]; diff --git a/nixpkgs/pkgs/development/libraries/polkit/default.nix b/nixpkgs/pkgs/development/libraries/polkit/default.nix index b5b9f1f7fa4..0d220988b15 100644 --- a/nixpkgs/pkgs/development/libraries/polkit/default.nix +++ b/nixpkgs/pkgs/development/libraries/polkit/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchurl, pkgconfig, glib, expat, pam, perl +{ stdenv, fetchurl, pkgconfig, glib, expat, pam, perl, fetchpatch , intltool, spidermonkey_60 , gobject-introspection, libxslt, docbook_xsl, dbus , docbook_xml_dtd_412, gtk-doc, coreutils , useSystemd ? stdenv.isLinux, systemd @@ -22,6 +22,14 @@ stdenv.mkDerivation rec { sha256 = "1c9lbpndh5zis22f154vjrhnqw65z8s85nrgl42v738yf6g0q5w8"; }; + patches = [ + # Don't use etc/dbus-1/system.d + (fetchpatch { + url = "https://gitlab.freedesktop.org/polkit/polkit/merge_requests/11.patch"; + sha256 = "17lv7xj5ksa27iv4zpm4zwd4iy8zbwjj4ximslfq3sasiz9kxhlp"; + }) + ]; + postPatch = stdenv.lib.optionalString stdenv.isDarwin '' sed -i -e "s/-Wl,--as-needed//" configure.ac ''; diff --git a/nixpkgs/pkgs/development/libraries/poppler/0.61-CVE-2019-9959.patch b/nixpkgs/pkgs/development/libraries/poppler/0.61-CVE-2019-9959.patch new file mode 100644 index 00000000000..5c2af7a2adc --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/poppler/0.61-CVE-2019-9959.patch @@ -0,0 +1,20 @@ +diff --git a/poppler/JPEG2000Stream.cc b/poppler/JPEG2000Stream.cc +--- a/poppler/JPEG2000Stream.cc ++++ b/poppler/JPEG2000Stream.cc +@@ -201,7 +201,7 @@ void JPXStream::init() + if (getDict()) smaskInData = getDict()->lookup("SMaskInData"); + + int bufSize = BUFFER_INITIAL_SIZE; +- if (oLen.isInt()) bufSize = oLen.getInt(); ++ if (oLen.isInt() && oLen.getInt() > 0) bufSize = oLen.getInt(); + + if (cspace.isArray() && cspace.arrayGetLength() > 0) { + +@@ -365,7 +365,7 @@ void JPXStream::init() + } + + int bufSize = BUFFER_INITIAL_SIZE; +- if (oLen.isInt()) bufSize = oLen.getInt(); ++ if (oLen.isInt() && oLen.getInt() > 0) bufSize = oLen.getInt(); + + if (cspace.isArray() && cspace.arrayGetLength() > 0) { diff --git a/nixpkgs/pkgs/development/libraries/poppler/0.61.nix b/nixpkgs/pkgs/development/libraries/poppler/0.61.nix index 633c3d69618..cb9cf16531c 100644 --- a/nixpkgs/pkgs/development/libraries/poppler/0.61.nix +++ b/nixpkgs/pkgs/development/libraries/poppler/0.61.nix @@ -8,7 +8,7 @@ }: let - version = "0.61.0"; + version = "0.61.1"; mkFlag = optset: flag: "-DENABLE_${flag}=${if optset then "on" else "off"}"; in stdenv.mkDerivation rec { @@ -16,7 +16,7 @@ stdenv.mkDerivation rec { src = fetchurl { url = "${meta.homepage}/poppler-${version}.tar.xz"; - sha256 = "0zrbb1b77k6bm2qdnra08jnbyllv6vj29790igmp6fzs59xf3kak"; + sha256 = "1afdrxxkaivvviazxkg5blsf2x24sjkfj92ib0d3q5pm8dihjrhj"; }; outputs = [ "out" "dev" ]; @@ -27,6 +27,7 @@ stdenv.mkDerivation rec { url = "https://cgit.freedesktop.org/poppler/poppler/patch/?id=004e3c10df0abda214f0c293f9e269fdd979c5ee"; sha256 = "1l8713s57xc6g81bldw934rsfm140fqc7ggd50ha5mxdl1b3app2"; }) + ./0.61-CVE-2019-9959.patch ]; buildInputs = [ libiconv libintl ] ++ lib.optional withData poppler_data; diff --git a/nixpkgs/pkgs/development/libraries/poppler/default.nix b/nixpkgs/pkgs/development/libraries/poppler/default.nix index 28408cb91f8..523e372996b 100644 --- a/nixpkgs/pkgs/development/libraries/poppler/default.nix +++ b/nixpkgs/pkgs/development/libraries/poppler/default.nix @@ -1,4 +1,4 @@ -{ stdenv, lib, fetchurl, cmake, ninja, pkgconfig, libiconv, libintl +{ stdenv, lib, fetchurl, fetchpatch, cmake, ninja, pkgconfig, libiconv, libintl , zlib, curl, cairo, freetype, fontconfig, lcms, libjpeg, openjpeg , withData ? true, poppler_data , qt5Support ? false, qtbase ? null @@ -21,6 +21,14 @@ stdenv.mkDerivation rec { outputs = [ "out" "dev" ]; + patches = [ + (fetchpatch { + name = "CVE-2019-9959.patch"; + url = "https://gitlab.freedesktop.org/poppler/poppler/commit/68ef84e5968a4249c2162b839ca6d7975048a557.patch"; + sha256 = "17a3qs74fnnrhjys23f4aw5y7yfsk5d507jcj4hh1bndqv6dpwg1"; + }) + ]; + buildInputs = [ libiconv libintl ] ++ lib.optional withData poppler_data; # TODO: reduce propagation to necessary libs diff --git a/nixpkgs/pkgs/development/libraries/pstreams/default.nix b/nixpkgs/pkgs/development/libraries/pstreams/default.nix new file mode 100644 index 00000000000..a734ac1e9fd --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/pstreams/default.nix @@ -0,0 +1,40 @@ +{ stdenv +, fetchgit +}: + +stdenv.mkDerivation rec { + pname = "PStreams"; + version = "1.0.1"; + + src = fetchgit { + url = https://git.code.sf.net/p/pstreams/code; + rev = let dot2Underscore = stdenv.lib.strings.stringAsChars (c: if c == "." then "_" else c); + in "RELEASE_${dot2Underscore version}"; + sha256 = "0r8aj0nh5mkf8cvnzl8bdy4nm7i74vs83axxfimcd74kjfn0irys"; + }; + + makeFlags = [ "prefix=${placeholder "out"}" ]; + dontBuild = true; + doCheck = true; + + preInstall = "rm INSTALL"; + # `make install` fails on case-insensitive file systems (e.g. APFS by + # default) because this target exists + + meta = with stdenv.lib; { + description = "POSIX Process Control in C++"; + longDescription = '' + PStreams allows you to run another program from your C++ application and + to transfer data between the two programs similar to shell pipelines. + + In the simplest case, a PStreams class is like a C++ wrapper for the + POSIX.2 functions popen(3) and pclose(3), using C++ iostreams instead of + C's stdio library. + ''; + homepage = http://pstreams.sourceforge.net/; + downloadPage = http://pstreams.sourceforge.net/download/; + maintainers = with maintainers; [ arthur ]; + license = licenses.boost; + platforms = platforms.all; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/qca2/default.nix b/nixpkgs/pkgs/development/libraries/qca2/default.nix index 19b11a60e7b..4894bee9609 100644 --- a/nixpkgs/pkgs/development/libraries/qca2/default.nix +++ b/nixpkgs/pkgs/development/libraries/qca2/default.nix @@ -1,16 +1,16 @@ -{ stdenv, fetchurl, openssl_1_0_2, cmake, pkgconfig, qt, darwin }: +{ stdenv, fetchurl, openssl, cmake, pkgconfig, qt, darwin }: stdenv.mkDerivation rec { pname = "qca"; - version = "2.1.3"; + version = "2.2.1"; src = fetchurl { - url = "http://download.kde.org/stable/qca/${version}/src/qca-${version}.tar.xz"; - sha256 = "0lz3n652z208daxypdcxiybl0a9fnn6ida0q7fh5f42269mdhgq0"; + url = "http://download.kde.org/stable/qca/${version}/qca-${version}.tar.xz"; + sha256 = "00kv1vsrc8fp556hm8s6yw3240vx3l4067q6vfxrb3gdwgcd45np"; }; nativeBuildInputs = [ cmake pkgconfig ]; - buildInputs = [ openssl_1_0_2 qt ] + buildInputs = [ openssl qt ] ++ stdenv.lib.optional stdenv.isDarwin darwin.apple_sdk.frameworks.Security; enableParallelBuilding = true; diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.11/default.nix b/nixpkgs/pkgs/development/libraries/qt-5/5.11/default.nix index 296db2eab2d..e22dc4dbae3 100644 --- a/nixpkgs/pkgs/development/libraries/qt-5/5.11/default.nix +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.11/default.nix @@ -69,6 +69,13 @@ let qtwebengine = [ ./qtwebengine-no-build-skip.patch ./qtwebengine-darwin-no-platform-check.patch + # https://gitlab.freedesktop.org/pulseaudio/pulseaudio/issues/707 + # https://bugreports.qt.io/browse/QTBUG-77037 + (fetchpatch { + name = "fix-build-with-pulseaudio-13.0.patch"; + url = "https://git.archlinux.org/svntogit/packages.git/plain/trunk/qtbug-77037-workaround.patch?h=packages/qt5-webengine&id=fc77d6b3d5ec74e421b58f199efceb2593cbf951"; + sha256 = "1gv733qfdn9746nbqqxzyjx4ijjqkkb7zb71nxax49nna5bri3am"; + }) ]; qtwebkit = [ ./qtwebkit.patch ]; }; @@ -152,9 +159,7 @@ let qmake = makeSetupHook { deps = [ self.qtbase.dev ]; substitutions = { - inherit (stdenv) isDarwin; - qtbase_dev = self.qtbase.dev; - fix_qt_builtin_paths = ../hooks/fix-qt-builtin-paths.sh; + fix_qmake_libtool = ../hooks/fix-qmake-libtool.sh; }; } ../hooks/qmake-hook.sh; diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/default.nix b/nixpkgs/pkgs/development/libraries/qt-5/5.12/default.nix index 7b4addd9161..c678cf351b1 100644 --- a/nixpkgs/pkgs/development/libraries/qt-5/5.12/default.nix +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/default.nix @@ -16,7 +16,7 @@ top-level attribute to `top-level/all-packages.nix`. { newScope, - stdenv, fetchurl, fetchFromGitHub, makeSetupHook, makeWrapper, + stdenv, fetchurl, fetchpatch, fetchFromGitHub, makeSetupHook, makeWrapper, bison, cups ? null, harfbuzz, libGL, perl, gstreamer, gst-plugins-base, gtk3, dconf, llvmPackages_5, @@ -50,15 +50,34 @@ let }; patches = { - qtbase = [ - ./qtbase.patch - ./qtbase-fixguicmake.patch - ]; + qtbase = + optionals stdenv.isDarwin [ + ./qtbase.patch.d/0001-qtbase-mkspecs-mac.patch + ./qtbase.patch.d/0002-qtbase-mac.patch + ] + ++ [ + ./qtbase.patch.d/0003-qtbase-mkspecs.patch + ./qtbase.patch.d/0004-qtbase-replace-libdir.patch + ./qtbase.patch.d/0005-qtbase-cmake.patch + ./qtbase.patch.d/0006-qtbase-gtk3.patch + ./qtbase.patch.d/0007-qtbase-xcursor.patch + ./qtbase.patch.d/0008-qtbase-xcompose.patch + ./qtbase.patch.d/0009-qtbase-tzdir.patch + ./qtbase.patch.d/0010-qtbase-qtpluginpath.patch + ./qtbase.patch.d/0011-qtbase-assert.patch + ]; qtdeclarative = [ ./qtdeclarative.patch ]; qtscript = [ ./qtscript.patch ]; qtserialport = [ ./qtserialport.patch ]; qtwebengine = [ ./qtwebengine-no-build-skip.patch + # https://gitlab.freedesktop.org/pulseaudio/pulseaudio/issues/707 + # https://bugreports.qt.io/browse/QTBUG-77037 + (fetchpatch { + name = "fix-build-with-pulseaudio-13.0.patch"; + url = "https://git.archlinux.org/svntogit/packages.git/plain/trunk/qtbug-77037-workaround.patch?h=packages/qt5-webengine&id=fc77d6b3d5ec74e421b58f199efceb2593cbf951"; + sha256 = "1gv733qfdn9746nbqqxzyjx4ijjqkkb7zb71nxax49nna5bri3am"; + }) ] ++ optional stdenv.isDarwin ./qtwebengine-darwin-no-platform-check.patch; qtwebkit = [ ./qtwebkit.patch ] @@ -148,9 +167,7 @@ let qmake = makeSetupHook { deps = [ self.qtbase.dev ]; substitutions = { - inherit (stdenv) isDarwin; - qtbase_dev = self.qtbase.dev; - fix_qt_builtin_paths = ../hooks/fix-qt-builtin-paths.sh; + fix_qmake_libtool = ../hooks/fix-qmake-libtool.sh; }; } ../hooks/qmake-hook.sh; diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/fetch.sh b/nixpkgs/pkgs/development/libraries/qt-5/5.12/fetch.sh index a4d2fc82ff2..86cd509a9f2 100644 --- a/nixpkgs/pkgs/development/libraries/qt-5/5.12/fetch.sh +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/fetch.sh @@ -1 +1 @@ -WGET_ARGS=( http://download.qt.io/official_releases/qt/5.12/5.12.3/submodules/ ) +WGET_ARGS=( http://download.qt.io/official_releases/qt/5.12/5.12.4/submodules/ ) diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase-fixguicmake.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase-fixguicmake.patch deleted file mode 100644 index 8b46d432812..00000000000 --- a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase-fixguicmake.patch +++ /dev/null @@ -1,30 +0,0 @@ -diff --git a/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in b/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in -index 0bbc871..3673634 100644 ---- a/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in -+++ b/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in -@@ -286,7 +286,7 @@ if (NOT TARGET Qt5::$${CMAKE_MODULE_NAME}) - macro(_populate_$${CMAKE_MODULE_NAME}_plugin_properties Plugin Configuration PLUGIN_LOCATION) - set_property(TARGET Qt5::${Plugin} APPEND PROPERTY IMPORTED_CONFIGURATIONS ${Configuration}) - -- set(imported_location \"$${CMAKE_PLUGIN_DIR}${PLUGIN_LOCATION}\") -+ set(imported_location \"${PLUGIN_LOCATION}\") - _qt5_$${CMAKE_MODULE_NAME}_check_file_exists(${imported_location}) - set_target_properties(Qt5::${Plugin} PROPERTIES - \"IMPORTED_LOCATION_${Configuration}\" ${imported_location} -diff --git a/mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in b/mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in -index 5baf0fd..3583745 100644 ---- a/mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in -+++ b/mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in -@@ -2,10 +2,10 @@ - add_library(Qt5::$$CMAKE_PLUGIN_NAME MODULE IMPORTED) - - !!IF !isEmpty(CMAKE_RELEASE_TYPE) --_populate_$${CMAKE_MODULE_NAME}_plugin_properties($$CMAKE_PLUGIN_NAME RELEASE \"$${CMAKE_PLUGIN_LOCATION_RELEASE}\") -+_populate_$${CMAKE_MODULE_NAME}_plugin_properties($$CMAKE_PLUGIN_NAME RELEASE \"$${CMAKE_PLUGIN_DIR}$${CMAKE_PLUGIN_LOCATION_RELEASE}\") - !!ENDIF - !!IF !isEmpty(CMAKE_DEBUG_TYPE) --_populate_$${CMAKE_MODULE_NAME}_plugin_properties($$CMAKE_PLUGIN_NAME DEBUG \"$${CMAKE_PLUGIN_LOCATION_DEBUG}\") -+_populate_$${CMAKE_MODULE_NAME}_plugin_properties($$CMAKE_PLUGIN_NAME DEBUG \"$${CMAKE_PLUGIN_DIR}$${CMAKE_PLUGIN_LOCATION_DEBUG}\") - !!ENDIF - - list(APPEND Qt5$${CMAKE_MODULE_NAME}_PLUGINS Qt5::$$CMAKE_PLUGIN_NAME) diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch deleted file mode 100644 index 87ed0ddc4d4..00000000000 --- a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch +++ /dev/null @@ -1,1146 +0,0 @@ -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/common/mac.conf qtbase-everywhere-src-5.12.3-b/mkspecs/common/mac.conf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/common/mac.conf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/common/mac.conf 2019-07-10 09:35:08.917628566 -0500 -@@ -24,7 +24,7 @@ - - QMAKE_FIX_RPATH = install_name_tool -id - --QMAKE_LFLAGS_RPATH = -Wl,-rpath, -+QMAKE_LFLAGS_RPATH = - QMAKE_LFLAGS_GCSECTIONS = -Wl,-dead_strip - - QMAKE_LFLAGS_REL_RPATH = -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/create_cmake.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/create_cmake.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/create_cmake.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/create_cmake.prf 2019-07-10 09:35:08.917628566 -0500 -@@ -21,7 +21,7 @@ - # at cmake time whether package has been found via a symlink, and correct - # that to an absolute path. This is only done for installations to - # the /usr or / prefix. --CMAKE_INSTALL_LIBS_DIR = $$cmakeTargetPath($$[QT_INSTALL_LIBS]) -+CMAKE_INSTALL_LIBS_DIR = $$cmakeTargetPath($$NIX_OUTPUT_OUT/lib/) - contains(CMAKE_INSTALL_LIBS_DIR, ^(/usr)?/lib(64)?.*): CMAKE_USR_MOVE_WORKAROUND = $$CMAKE_INSTALL_LIBS_DIR - - CMAKE_OUT_DIR = $$MODULE_BASE_OUTDIR/lib/cmake -@@ -51,45 +51,20 @@ - $$cmake_extra_source_includes.output - } - --CMAKE_INCLUDE_DIR = $$cmakeRelativePath($$[QT_INSTALL_HEADERS], $$[QT_INSTALL_PREFIX]) --contains(CMAKE_INCLUDE_DIR, "^\\.\\./.*") { -- CMAKE_INCLUDE_DIR = $$[QT_INSTALL_HEADERS]/ -- CMAKE_INCLUDE_DIR_IS_ABSOLUTE = True --} -+CMAKE_INCLUDE_DIR = $$NIX_OUTPUT_DEV/include/ -+CMAKE_INCLUDE_DIR_IS_ABSOLUTE = True - --CMAKE_LIB_DIR = $$cmakeRelativePath($$[QT_INSTALL_LIBS], $$[QT_INSTALL_PREFIX]) --contains(CMAKE_LIB_DIR,"^\\.\\./.*") { -- CMAKE_LIB_DIR = $$[QT_INSTALL_LIBS]/ -- CMAKE_LIB_DIR_IS_ABSOLUTE = True --} else { -- CMAKE_RELATIVE_INSTALL_LIBS_DIR = $$cmakeRelativePath($$[QT_INSTALL_PREFIX], $$[QT_INSTALL_LIBS]) -- # We need to go up another two levels because the CMake files are -- # installed in $${CMAKE_LIB_DIR}/cmake/Qt5$${CMAKE_MODULE_NAME} -- CMAKE_RELATIVE_INSTALL_DIR = "$${CMAKE_RELATIVE_INSTALL_LIBS_DIR}../../" --} -+CMAKE_BIN_DIR = $$NIX_OUTPUT_BIN/bin/ -+CMAKE_BIN_DIR_IS_ABSOLUTE = True - --CMAKE_BIN_DIR = $$cmakeRelativePath($$[QT_HOST_BINS], $$[QT_INSTALL_PREFIX]) --contains(CMAKE_BIN_DIR, "^\\.\\./.*") { -- CMAKE_BIN_DIR = $$[QT_HOST_BINS]/ -- CMAKE_BIN_DIR_IS_ABSOLUTE = True --} -+CMAKE_LIB_DIR = $$NIX_OUTPUT_OUT/lib/ -+CMAKE_LIB_DIR_IS_ABSOLUTE = True - --CMAKE_PLUGIN_DIR = $$cmakeRelativePath($$[QT_INSTALL_PLUGINS], $$[QT_INSTALL_PREFIX]) --contains(CMAKE_PLUGIN_DIR, "^\\.\\./.*") { -- CMAKE_PLUGIN_DIR = $$[QT_INSTALL_PLUGINS]/ -- CMAKE_PLUGIN_DIR_IS_ABSOLUTE = True --} -- --win32:!static:!staticlib { -- CMAKE_DLL_DIR = $$cmakeRelativePath($$[QT_INSTALL_BINS], $$[QT_INSTALL_PREFIX]) -- contains(CMAKE_DLL_DIR, "^\\.\\./.*") { -- CMAKE_DLL_DIR = $$[QT_INSTALL_BINS]/ -- CMAKE_DLL_DIR_IS_ABSOLUTE = True -- } --} else { -- CMAKE_DLL_DIR = $$CMAKE_LIB_DIR -- CMAKE_DLL_DIR_IS_ABSOLUTE = $$CMAKE_LIB_DIR_IS_ABSOLUTE --} -+CMAKE_PLUGIN_DIR = $$NIX_OUTPUT_PLUGIN/ -+CMAKE_PLUGIN_DIR_IS_ABSOLUTE = True -+ -+CMAKE_DLL_DIR = $$NIX_OUTPUT_OUT/lib/ -+CMAKE_DLL_DIR_IS_ABSOLUTE = True - - static|staticlib:CMAKE_STATIC_TYPE = true - -@@ -169,7 +144,7 @@ - cmake_target_file - - cmake_qt5_plugin_file.files = $$cmake_target_file.output -- cmake_qt5_plugin_file.path = $$[QT_INSTALL_LIBS]/cmake/Qt5$${CMAKE_MODULE_NAME} -+ cmake_qt5_plugin_file.path = $$NIX_OUTPUT_OUT/lib/cmake/Qt5$${CMAKE_MODULE_NAME} - INSTALLS += cmake_qt5_plugin_file - - return() -@@ -318,7 +293,7 @@ - cmake_qt5_module_files.files += $$cmake_macros_file.output - } - --cmake_qt5_module_files.path = $$[QT_INSTALL_LIBS]/cmake/Qt5$${CMAKE_MODULE_NAME} -+cmake_qt5_module_files.path = $$NIX_OUTPUT_OUT/lib/cmake/Qt5$${CMAKE_MODULE_NAME} - - # We are generating cmake files. Most developers of Qt are not aware of cmake, - # so we require automatic tests to be available. The only module which should -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in qtbase-everywhere-src-5.12.3-b/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in 2019-07-10 09:35:08.917628566 -0500 -@@ -3,30 +3,6 @@ - message(FATAL_ERROR \"Qt 5 $${CMAKE_MODULE_NAME} module requires at least CMake version 3.1.0\") - endif() - --!!IF !isEmpty(CMAKE_USR_MOVE_WORKAROUND) --!!IF !isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) --set(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"$$[QT_INSTALL_PREFIX]\") --!!ELSE --get_filename_component(_IMPORT_PREFIX \"${CMAKE_CURRENT_LIST_FILE}\" PATH) --# Use original install prefix when loaded through a --# cross-prefix symbolic link such as /lib -> /usr/lib. --get_filename_component(_realCurr \"${_IMPORT_PREFIX}\" REALPATH) --get_filename_component(_realOrig \"$$CMAKE_INSTALL_LIBS_DIR/cmake/Qt5$${CMAKE_MODULE_NAME}\" REALPATH) --if(_realCurr STREQUAL _realOrig) -- get_filename_component(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"$$CMAKE_INSTALL_LIBS_DIR/$${CMAKE_RELATIVE_INSTALL_LIBS_DIR}\" ABSOLUTE) --else() -- get_filename_component(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"${CMAKE_CURRENT_LIST_DIR}/$${CMAKE_RELATIVE_INSTALL_DIR}\" ABSOLUTE) --endif() --unset(_realOrig) --unset(_realCurr) --unset(_IMPORT_PREFIX) --!!ENDIF --!!ELIF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) --get_filename_component(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"${CMAKE_CURRENT_LIST_DIR}/$${CMAKE_RELATIVE_INSTALL_DIR}\" ABSOLUTE) --!!ELSE --set(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"$$[QT_INSTALL_PREFIX]\") --!!ENDIF -- - !!IF !equals(TEMPLATE, aux) - # For backwards compatibility only. Use Qt5$${CMAKE_MODULE_NAME}_VERSION instead. - set(Qt5$${CMAKE_MODULE_NAME}_VERSION_STRING "$$eval(QT.$${MODULE}.VERSION)") -@@ -52,11 +28,7 @@ - macro(_populate_$${CMAKE_MODULE_NAME}_target_properties Configuration LIB_LOCATION IMPLIB_LOCATION) - set_property(TARGET Qt5::$${CMAKE_MODULE_NAME} APPEND PROPERTY IMPORTED_CONFIGURATIONS ${Configuration}) - --!!IF isEmpty(CMAKE_DLL_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_DLL_DIR}${LIB_LOCATION}\") --!!ELSE - set(imported_location \"$${CMAKE_DLL_DIR}${LIB_LOCATION}\") --!!ENDIF - _qt5_$${CMAKE_MODULE_NAME}_check_file_exists(${imported_location}) - set_target_properties(Qt5::$${CMAKE_MODULE_NAME} PROPERTIES - \"INTERFACE_LINK_LIBRARIES\" \"${_Qt5$${CMAKE_MODULE_NAME}_LIB_DEPENDENCIES}\" -@@ -69,11 +41,7 @@ - ) - - !!IF !isEmpty(CMAKE_WINDOWS_BUILD) --!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) -- set(imported_implib \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") --!!ELSE - set(imported_implib \"IMPORTED_IMPLIB_${Configuration}\" \"$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") --!!ENDIF - _qt5_$${CMAKE_MODULE_NAME}_check_file_exists(${imported_implib}) - if(NOT \"${IMPLIB_LOCATION}\" STREQUAL \"\") - set_target_properties(Qt5::$${CMAKE_MODULE_NAME} PROPERTIES -@@ -89,24 +57,13 @@ - !!IF !no_module_headers - !!IF !isEmpty(CMAKE_BUILD_IS_FRAMEWORK) - set(_Qt5$${CMAKE_MODULE_NAME}_OWN_INCLUDE_DIRS -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}Qt$${CMAKE_MODULE_NAME}.framework\" -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}Qt$${CMAKE_MODULE_NAME}.framework/Headers\" -+ \"$${CMAKE_LIB_DIR}Qt$${CMAKE_MODULE_NAME}.framework\" -+ \"$${CMAKE_LIB_DIR}Qt$${CMAKE_MODULE_NAME}.framework/Headers\" - ) - !!IF isEmpty(CMAKE_NO_PRIVATE_INCLUDES) - set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}Qt$${CMAKE_MODULE_NAME}.framework/Versions/$$section(VERSION, ., 0, 0)/Headers/$$VERSION/\" -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}Qt$${CMAKE_MODULE_NAME}.framework/Versions/$$section(VERSION, ., 0, 0)/Headers/$$VERSION/$${MODULE_INCNAME}\" -- ) --!!ELSE -- set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS \"\") --!!ENDIF --!!ELSE --!!IF isEmpty(CMAKE_INCLUDE_DIR_IS_ABSOLUTE) -- set(_Qt5$${CMAKE_MODULE_NAME}_OWN_INCLUDE_DIRS \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$$CMAKE_INCLUDE_DIR\" \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_INCLUDE_DIR}$${MODULE_INCNAME}\") --!!IF isEmpty(CMAKE_NO_PRIVATE_INCLUDES) -- set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_INCLUDE_DIR}$${MODULE_INCNAME}/$$VERSION\" -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_INCLUDE_DIR}$${MODULE_INCNAME}/$$VERSION/$${MODULE_INCNAME}\" -+ \"$${CMAKE_LIB_DIR}Qt$${CMAKE_MODULE_NAME}.framework/Versions/$$section(VERSION, ., 0, 0)/Headers/$$VERSION/\" -+ \"$${CMAKE_LIB_DIR}Qt$${CMAKE_MODULE_NAME}.framework/Versions/$$section(VERSION, ., 0, 0)/Headers/$$VERSION/$${MODULE_INCNAME}\" - ) - !!ELSE - set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS \"\") -@@ -122,7 +79,6 @@ - set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS \"\") - !!ENDIF - !!ENDIF --!!ENDIF - !!IF !isEmpty(CMAKE_ADD_SOURCE_INCLUDE_DIRS) - include(\"${CMAKE_CURRENT_LIST_DIR}/ExtraSourceIncludes.cmake\" OPTIONAL) - !!ENDIF -@@ -272,25 +228,13 @@ - !!IF !isEmpty(CMAKE_FIND_OTHER_LIBRARY_BUILD) - !!IF isEmpty(CMAKE_DEBUG_TYPE) - !!IF !isEmpty(CMAKE_STATIC_WINDOWS_BUILD) --!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) -- if (EXISTS \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) --!!ELSE // CMAKE_LIB_DIR_IS_ABSOLUTE - if (EXISTS \"$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) --!!ENDIF // CMAKE_LIB_DIR_IS_ABSOLUTE - _populate_$${CMAKE_MODULE_NAME}_target_properties(DEBUG \"$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" \"\" ) - !!ELSE // CMAKE_STATIC_WINDOWS_BUILD - if (EXISTS --!!IF isEmpty(CMAKE_DLL_DIR_IS_ABSOLUTE) -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_DLL_DIR}$${CMAKE_LIB_FILE_LOCATION_DEBUG}\" --!!ELSE - \"$${CMAKE_LIB_FILE_LOCATION_DEBUG}\" --!!ENDIF - AND EXISTS --!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) --!!ELSE - \"$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) --!!ENDIF - _populate_$${CMAKE_MODULE_NAME}_target_properties(DEBUG \"$${CMAKE_LIB_FILE_LOCATION_DEBUG}\" \"$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) - !!ENDIF // CMAKE_STATIC_WINDOWS_BUILD - endif() -@@ -309,25 +253,13 @@ - !!IF !isEmpty(CMAKE_FIND_OTHER_LIBRARY_BUILD) - !!IF isEmpty(CMAKE_RELEASE_TYPE) - !!IF !isEmpty(CMAKE_STATIC_WINDOWS_BUILD) --!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) -- if (EXISTS \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) --!!ELSE // CMAKE_LIB_DIR_IS_ABSOLUTE - if (EXISTS \"$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) --!!ENDIF // CMAKE_LIB_DIR_IS_ABSOLUTE - _populate_$${CMAKE_MODULE_NAME}_target_properties(RELEASE \"$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" \"\" ) - !!ELSE // CMAKE_STATIC_WINDOWS_BUILD - if (EXISTS --!!IF isEmpty(CMAKE_DLL_DIR_IS_ABSOLUTE) -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_DLL_DIR}$${CMAKE_LIB_FILE_LOCATION_RELEASE}\" --!!ELSE - \"$${CMAKE_LIB_FILE_LOCATION_RELEASE}\" --!!ENDIF - AND EXISTS --!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) -- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) --!!ELSE - \"$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) --!!ENDIF - _populate_$${CMAKE_MODULE_NAME}_target_properties(RELEASE \"$${CMAKE_LIB_FILE_LOCATION_RELEASE}\" \"$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) - !!ENDIF // CMAKE_STATIC_WINDOWS_BUILD - endif() -@@ -346,11 +278,7 @@ - macro(_populate_$${CMAKE_MODULE_NAME}_plugin_properties Plugin Configuration PLUGIN_LOCATION) - set_property(TARGET Qt5::${Plugin} APPEND PROPERTY IMPORTED_CONFIGURATIONS ${Configuration}) - --!!IF isEmpty(CMAKE_PLUGIN_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_PLUGIN_DIR}${PLUGIN_LOCATION}\") --!!ELSE - set(imported_location \"$${CMAKE_PLUGIN_DIR}${PLUGIN_LOCATION}\") --!!ENDIF - _qt5_$${CMAKE_MODULE_NAME}_check_file_exists(${imported_location}) - set_target_properties(Qt5::${Plugin} PROPERTIES - \"IMPORTED_LOCATION_${Configuration}\" ${imported_location} -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/mac/default_post.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/mac/default_post.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/mac/default_post.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/mac/default_post.prf 2019-07-10 09:35:08.917628566 -0500 -@@ -64,202 +64,6 @@ - } - } - --# Add the same default rpaths as Xcode does for new projects. --# This is especially important for iOS/tvOS/watchOS where no other option is possible. --!no_default_rpath { -- QMAKE_RPATHDIR += @executable_path/../Frameworks -- equals(TEMPLATE, lib):!plugin:lib_bundle: QMAKE_RPATHDIR += @loader_path/Frameworks --} -- --# Don't pass -headerpad_max_install_names when using Bitcode. --# In that case the linker emits a warning stating that the flag is ignored when --# used with bitcode, for reasons that cannot be determined (rdar://problem/20748962). --# Using this flag is also unnecessary in practice on UIKit platforms since they --# are sandboxed, and only UIKit platforms support bitcode to begin with. --!bitcode: QMAKE_LFLAGS += $$QMAKE_LFLAGS_HEADERPAD -- --app_extension_api_only { -- QMAKE_CFLAGS += $$QMAKE_CFLAGS_APPLICATION_EXTENSION -- QMAKE_CXXFLAGS += $$QMAKE_CFLAGS_APPLICATION_EXTENSION -- QMAKE_CXXFLAGS_PRECOMPILE += $$QMAKE_CFLAGS_APPLICATION_EXTENSION -- QMAKE_LFLAGS += $$QMAKE_CFLAGS_APPLICATION_EXTENSION --} -- --macx-xcode { -- qmake_pkginfo_typeinfo.name = QMAKE_PKGINFO_TYPEINFO -- !isEmpty(QMAKE_PKGINFO_TYPEINFO): \ -- qmake_pkginfo_typeinfo.value = $$QMAKE_PKGINFO_TYPEINFO -- else: \ -- qmake_pkginfo_typeinfo.value = "????" -- QMAKE_MAC_XCODE_SETTINGS += qmake_pkginfo_typeinfo -- -- !isEmpty(VERSION) { -- l = $$split(VERSION, '.') 0 0 # make sure there are at least three -- VER_MAJ = $$member(l, 0, 0) -- VER_MIN = $$member(l, 1, 1) -- VER_PAT = $$member(l, 2, 2) -- unset(l) -- -- qmake_full_version.name = QMAKE_FULL_VERSION -- qmake_full_version.value = $${VER_MAJ}.$${VER_MIN}.$${VER_PAT} -- QMAKE_MAC_XCODE_SETTINGS += qmake_full_version -- -- qmake_short_version.name = QMAKE_SHORT_VERSION -- qmake_short_version.value = $${VER_MAJ}.$${VER_MIN} -- QMAKE_MAC_XCODE_SETTINGS += qmake_short_version -- } -- -- !isEmpty(QMAKE_XCODE_DEBUG_INFORMATION_FORMAT) { -- debug_information_format.name = DEBUG_INFORMATION_FORMAT -- debug_information_format.value = $$QMAKE_XCODE_DEBUG_INFORMATION_FORMAT -- debug_information_format.build = debug -- QMAKE_MAC_XCODE_SETTINGS += debug_information_format -- } -- -- QMAKE_XCODE_ARCHS = -- -- arch_device.name = "ARCHS[sdk=$${device.sdk}*]" -- arch_device.value = $$QMAKE_APPLE_DEVICE_ARCHS -- QMAKE_XCODE_ARCHS += $$QMAKE_APPLE_DEVICE_ARCHS -- QMAKE_MAC_XCODE_SETTINGS += arch_device -- -- simulator { -- arch_simulator.name = "ARCHS[sdk=$${simulator.sdk}*]" -- arch_simulator.value = $$QMAKE_APPLE_SIMULATOR_ARCHS -- QMAKE_XCODE_ARCHS += $$QMAKE_APPLE_SIMULATOR_ARCHS -- QMAKE_MAC_XCODE_SETTINGS += arch_simulator -- } -- -- only_active_arch.name = ONLY_ACTIVE_ARCH -- only_active_arch.value = YES -- only_active_arch.build = debug -- QMAKE_MAC_XCODE_SETTINGS += only_active_arch --} else { -- device|!simulator: VALID_DEVICE_ARCHS = $$QMAKE_APPLE_DEVICE_ARCHS -- simulator: VALID_SIMULATOR_ARCHS = $$QMAKE_APPLE_SIMULATOR_ARCHS -- VALID_ARCHS = $$VALID_DEVICE_ARCHS $$VALID_SIMULATOR_ARCHS -- -- isEmpty(VALID_ARCHS): \ -- error("QMAKE_APPLE_DEVICE_ARCHS or QMAKE_APPLE_SIMULATOR_ARCHS must contain at least one architecture") -- -- single_arch: VALID_ARCHS = $$first(VALID_ARCHS) -- -- ACTIVE_ARCHS = $(filter $(EXPORT_VALID_ARCHS), $(ARCHS)) -- ARCH_ARGS = $(foreach arch, $(if $(EXPORT_ACTIVE_ARCHS), $(EXPORT_ACTIVE_ARCHS), $(EXPORT_VALID_ARCHS)), -arch $(arch)) -- -- QMAKE_EXTRA_VARIABLES += VALID_ARCHS ACTIVE_ARCHS ARCH_ARGS -- -- arch_flags = $(EXPORT_ARCH_ARGS) -- -- QMAKE_CFLAGS += $$arch_flags -- QMAKE_CXXFLAGS += $$arch_flags -- QMAKE_LFLAGS += $$arch_flags -- -- QMAKE_PCH_ARCHS = $$VALID_ARCHS -- -- macos: deployment_target = $$QMAKE_MACOSX_DEPLOYMENT_TARGET -- ios: deployment_target = $$QMAKE_IOS_DEPLOYMENT_TARGET -- tvos: deployment_target = $$QMAKE_TVOS_DEPLOYMENT_TARGET -- watchos: deployment_target = $$QMAKE_WATCHOS_DEPLOYMENT_TARGET -- -- # If we're doing a simulator and device build, device and simulator -- # architectures use different paths and flags for the sysroot and -- # deployment target switch, so we must multiplex them across multiple -- # architectures using -Xarch. Otherwise we fall back to the simple path. -- # This is not strictly necessary, but results in cleaner command lines -- # and makes it easier for people to override EXPORT_VALID_ARCHS to limit -- # individual rules to a different set of architecture(s) from the overall -- # build (such as machtest in QtCore). -- simulator:device { -- QMAKE_XARCH_CFLAGS = -- QMAKE_XARCH_LFLAGS = -- QMAKE_EXTRA_VARIABLES += QMAKE_XARCH_CFLAGS QMAKE_XARCH_LFLAGS -- -- for (arch, VALID_ARCHS) { -- contains(VALID_SIMULATOR_ARCHS, $$arch) { -- sdk = $$simulator.sdk -- version_identifier = $$simulator.deployment_identifier -- } else { -- sdk = $$device.sdk -- version_identifier = $$device.deployment_identifier -- } -- -- version_min_flags = \ -- -Xarch_$${arch} \ -- -m$${version_identifier}-version-min=$$deployment_target -- QMAKE_XARCH_CFLAGS_$${arch} = $$version_min_flags \ -- -Xarch_$${arch} \ -- -isysroot$$xcodeSDKInfo(Path, $$sdk) -- QMAKE_XARCH_LFLAGS_$${arch} = $$version_min_flags \ -- -Xarch_$${arch} \ -- -Wl,-syslibroot,$$xcodeSDKInfo(Path, $$sdk) -- -- QMAKE_XARCH_CFLAGS += $(EXPORT_QMAKE_XARCH_CFLAGS_$${arch}) -- QMAKE_XARCH_LFLAGS += $(EXPORT_QMAKE_XARCH_LFLAGS_$${arch}) -- -- QMAKE_EXTRA_VARIABLES += \ -- QMAKE_XARCH_CFLAGS_$${arch} \ -- QMAKE_XARCH_LFLAGS_$${arch} -- } -- -- QMAKE_CFLAGS += $(EXPORT_QMAKE_XARCH_CFLAGS) -- QMAKE_CXXFLAGS += $(EXPORT_QMAKE_XARCH_CFLAGS) -- QMAKE_LFLAGS += $(EXPORT_QMAKE_XARCH_LFLAGS) -- } else { -- simulator: \ -- version_identifier = $$simulator.deployment_identifier -- else: \ -- version_identifier = $$device.deployment_identifier -- version_min_flag = -m$${version_identifier}-version-min=$$deployment_target -- QMAKE_CFLAGS += -isysroot $$QMAKE_MAC_SDK_PATH $$version_min_flag -- QMAKE_CXXFLAGS += -isysroot $$QMAKE_MAC_SDK_PATH $$version_min_flag -- QMAKE_LFLAGS += -Wl,-syslibroot,$$QMAKE_MAC_SDK_PATH $$version_min_flag -- } -- -- # Enable precompiled headers for multiple architectures -- QMAKE_CFLAGS_USE_PRECOMPILE = -- for (arch, VALID_ARCHS) { -- icc_pch_style: \ -- use_flag = "-pch-use " -- else: \ -- use_flag = -include -- -- # Only use Xarch with multi-arch, as the option confuses ccache -- count(VALID_ARCHS, 1, greaterThan): \ -- QMAKE_CFLAGS_USE_PRECOMPILE += \ -- -Xarch_$${arch} -- -- QMAKE_CFLAGS_USE_PRECOMPILE += \ -- $${use_flag}${QMAKE_PCH_OUTPUT_$${arch}} -- } -- icc_pch_style { -- QMAKE_CXXFLAGS_USE_PRECOMPILE = $$QMAKE_CFLAGS_USE_PRECOMPILE -include ${QMAKE_PCH_INPUT} -- QMAKE_CFLAGS_USE_PRECOMPILE = -- } else { -- QMAKE_CXXFLAGS_USE_PRECOMPILE = $$QMAKE_CFLAGS_USE_PRECOMPILE -- QMAKE_OBJCFLAGS_USE_PRECOMPILE = $$QMAKE_CFLAGS_USE_PRECOMPILE -- QMAKE_OBJCXXFLAGS_USE_PRECOMPILE = $$QMAKE_CFLAGS_USE_PRECOMPILE -- } -- -- QMAKE_PCH_OUTPUT_EXT = _${QMAKE_PCH_ARCH}$${QMAKE_PCH_OUTPUT_EXT} --} -- --cache(QMAKE_XCODE_DEVELOPER_PATH, stash) --!isEmpty(QMAKE_XCODE_VERSION): \ -- cache(QMAKE_XCODE_VERSION, stash) -- --QMAKE_XCODE_LIBRARY_SUFFIX = $$qtPlatformTargetSuffix() -- --xcode_product_bundle_identifier_setting.name = PRODUCT_BUNDLE_IDENTIFIER --xcode_product_bundle_identifier_setting.value = $$QMAKE_TARGET_BUNDLE_PREFIX --isEmpty(xcode_product_bundle_identifier_setting.value): \ -- xcode_product_bundle_identifier_setting.value = "com.yourcompany" --xcode_product_bundle_target = $$QMAKE_BUNDLE --isEmpty(xcode_product_bundle_target): \ -- xcode_product_bundle_target = ${PRODUCT_NAME:rfc1034identifier} --xcode_product_bundle_identifier_setting.value = "$${xcode_product_bundle_identifier_setting.value}.$${xcode_product_bundle_target}" --QMAKE_MAC_XCODE_SETTINGS += xcode_product_bundle_identifier_setting -- - !macx-xcode { - generate_xcode_project.commands = @$(QMAKE) -spec macx-xcode $(EXPORT__PRO_FILE_) - generate_xcode_project.target = xcodeproj -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/mac/default_pre.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/mac/default_pre.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/mac/default_pre.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/mac/default_pre.prf 2019-07-10 09:35:08.917628566 -0500 -@@ -1,60 +1,2 @@ - CONFIG = asset_catalogs rez $$CONFIG - load(default_pre) -- --isEmpty(QMAKE_XCODE_DEVELOPER_PATH) { -- # Get path of Xcode's Developer directory -- QMAKE_XCODE_DEVELOPER_PATH = $$system("/usr/bin/xcode-select --print-path 2>/dev/null") -- isEmpty(QMAKE_XCODE_DEVELOPER_PATH): \ -- error("Xcode path is not set. Please use xcode-select to choose Xcode installation path.") -- -- # Make sure Xcode path is valid -- !exists($$QMAKE_XCODE_DEVELOPER_PATH): \ -- error("Xcode is not installed in $${QMAKE_XCODE_DEVELOPER_PATH}. Please use xcode-select to choose Xcode installation path.") --} -- --isEmpty(QMAKE_XCODEBUILD_PATH): \ -- QMAKE_XCODEBUILD_PATH = $$system("/usr/bin/xcrun -find xcodebuild 2>/dev/null") -- --!isEmpty(QMAKE_XCODEBUILD_PATH) { -- # Make sure Xcode is set up properly -- !system("/usr/bin/xcrun xcodebuild -license check 2>/dev/null"): \ -- error("Xcode not set up properly. You need to confirm the license agreement by running 'sudo xcrun xcodebuild -license accept'.") -- -- isEmpty(QMAKE_XCODE_VERSION) { -- # Extract Xcode version using xcodebuild -- xcode_version = $$system("/usr/bin/xcrun xcodebuild -version") -- QMAKE_XCODE_VERSION = $$member(xcode_version, 1) -- isEmpty(QMAKE_XCODE_VERSION): error("Could not resolve Xcode version.") -- unset(xcode_version) -- } --} -- --isEmpty(QMAKE_TARGET_BUNDLE_PREFIX) { -- QMAKE_XCODE_PREFERENCES_FILE = $$(HOME)/Library/Preferences/com.apple.dt.Xcode.plist -- exists($$QMAKE_XCODE_PREFERENCES_FILE): \ -- QMAKE_TARGET_BUNDLE_PREFIX = $$system("/usr/libexec/PlistBuddy -c 'print IDETemplateOptions:bundleIdentifierPrefix' $$QMAKE_XCODE_PREFERENCES_FILE 2>/dev/null") -- -- !isEmpty(_QMAKE_CACHE_):!isEmpty(QMAKE_TARGET_BUNDLE_PREFIX): \ -- cache(QMAKE_TARGET_BUNDLE_PREFIX) --} -- --QMAKE_ASSET_CATALOGS_APP_ICON = AppIcon -- --# Make the default debug info format for static debug builds --# DWARF instead of DWARF with dSYM. This cuts down build times --# for application debug builds significantly, as Xcode doesn't --# have to pull out all the DWARF info from the Qt static libs --# and put it into a dSYM file. We don't need that dSYM file in --# the first place, since the information is available in the --# object files inside the archives (static libraries). --macx-xcode:qtConfig(static): \ -- QMAKE_XCODE_DEBUG_INFORMATION_FORMAT = dwarf -- --# This variable is used by the xcode_dynamic_library_suffix --# feature, which allows Xcode to choose the Qt libraries to link to --# at build time, depending on the current Xcode SDK and configuration. --QMAKE_XCODE_LIBRARY_SUFFIX_SETTING = QT_LIBRARY_SUFFIX -- --xcode_copy_phase_strip_setting.name = COPY_PHASE_STRIP --xcode_copy_phase_strip_setting.value = NO --QMAKE_MAC_XCODE_SETTINGS += xcode_copy_phase_strip_setting -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/mac/sdk.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/mac/sdk.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/mac/sdk.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/mac/sdk.prf 2019-07-10 09:35:08.917628566 -0500 -@@ -1,54 +1 @@ - --isEmpty(QMAKE_MAC_SDK): \ -- error("QMAKE_MAC_SDK must be set when using CONFIG += sdk.") -- --contains(QMAKE_MAC_SDK, .*/.*): \ -- error("QMAKE_MAC_SDK can only contain short-form SDK names (eg. macosx, iphoneos)") -- --defineReplace(xcodeSDKInfo) { -- info = $$1 -- equals(info, "Path"): \ -- infoarg = --show-sdk-path -- equals(info, "PlatformPath"): \ -- infoarg = --show-sdk-platform-path -- equals(info, "SDKVersion"): \ -- infoarg = --show-sdk-version -- sdk = $$2 -- isEmpty(sdk): \ -- sdk = $$QMAKE_MAC_SDK -- -- isEmpty(QMAKE_MAC_SDK.$${sdk}.$${info}) { -- QMAKE_MAC_SDK.$${sdk}.$${info} = $$system("/usr/bin/xcrun --sdk $$sdk $$infoarg 2>/dev/null") -- # --show-sdk-platform-path won't work for Command Line Tools; this is fine -- # only used by the XCTest backend to testlib -- isEmpty(QMAKE_MAC_SDK.$${sdk}.$${info}):if(!isEmpty(QMAKE_XCODEBUILD_PATH)|!equals(infoarg, "--show-sdk-platform-path")): \ -- error("Could not resolve SDK $$info for \'$$sdk\' using $$infoarg") -- cache(QMAKE_MAC_SDK.$${sdk}.$${info}, set stash, QMAKE_MAC_SDK.$${sdk}.$${info}) -- } -- -- return($$eval(QMAKE_MAC_SDK.$${sdk}.$${info})) --} -- --QMAKE_MAC_SDK_PATH = $$xcodeSDKInfo(Path) --QMAKE_MAC_SDK_PLATFORM_PATH = $$xcodeSDKInfo(PlatformPath) --QMAKE_MAC_SDK_VERSION = $$xcodeSDKInfo(SDKVersion) -- --QMAKESPEC_NAME = $$basename(QMAKESPEC) -- --# Resolve SDK version of various tools --for(tool, $$list(QMAKE_CC QMAKE_CXX QMAKE_FIX_RPATH QMAKE_AR QMAKE_RANLIB QMAKE_LINK QMAKE_LINK_SHLIB QMAKE_ACTOOL QMAKE_LINK_C QMAKE_LINK_C_SHLIB)) { -- tool_variable = QMAKE_MAC_SDK.$${QMAKESPEC_NAME}.$${QMAKE_MAC_SDK}.$${tool} -- !isEmpty($$tool_variable) { -- $$tool = $$eval($$tool_variable) -- next() -- } -- -- value = $$eval($$tool) -- isEmpty(value): next() -- -- sysrooted = $$system("/usr/bin/xcrun -sdk $$QMAKE_MAC_SDK -find $$first(value) 2>/dev/null") -- isEmpty(sysrooted): next() -- -- $$tool = $$sysrooted $$member(value, 1, -1) -- cache($$tool_variable, set stash, $$tool) --} -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qml_module.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qml_module.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qml_module.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qml_module.prf 2019-07-10 09:35:08.917628566 -0500 -@@ -54,7 +54,7 @@ - - qmldir.files = $$qmldir_file - install_qml_files: qmldir.files += $$fq_qml_files --qmldir.path = $$[QT_INSTALL_QML]/$$TARGETPATH -+qmldir.path = $$NIX_OUTPUT_QML/$$TARGETPATH - INSTALLS += qmldir - - qmlfiles.base = $$_PRO_FILE_PWD_ -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qml_plugin.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qml_plugin.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qml_plugin.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qml_plugin.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -50,7 +50,7 @@ - - DESTDIR = $$MODULE_BASE_OUTDIR/qml/$$TARGETPATH - --target.path = $$[QT_INSTALL_QML]/$$TARGETPATH -+target.path = $$NIX_OUTPUT_QML/$$TARGETPATH - INSTALLS += target - - # Some final setup -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_app.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_app.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_app.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_app.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -30,7 +30,7 @@ - target.path = $$[QT_HOST_BINS] - } else { - !build_pass:qtConfig(debug_and_release): CONFIG += release -- target.path = $$[QT_INSTALL_BINS] -+ target.path = $$NIX_OUTPUT_BIN/bin - CONFIG += relative_qt_rpath # Qt's tools and apps should be relocatable - } - INSTALLS += target -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_build_paths.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_build_paths.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_build_paths.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_build_paths.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -24,6 +24,6 @@ - !force_independent { - # If the module is not built independently, everything ends up in qtbase. - # This is the case in non-prefix builds, except for selected modules. -- MODULE_BASE_OUTDIR = $$[QT_HOST_PREFIX] -- MODULE_QMAKE_OUTDIR = $$[QT_HOST_PREFIX] -+ MODULE_BASE_OUTDIR = $$NIX_OUTPUT_OUT -+ MODULE_QMAKE_OUTDIR = $$NIX_OUTPUT_OUT - } -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_common.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_common.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_common.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_common.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -34,8 +34,8 @@ - qqt_libdir = \$\$\$\$[QT_HOST_LIBS] - qt_libdir = $$[QT_HOST_LIBS] - } else { -- qqt_libdir = \$\$\$\$[QT_INSTALL_LIBS] -- qt_libdir = $$[QT_INSTALL_LIBS] -+ qqt_libdir = \$\$\$\$NIX_OUTPUT_OUT/lib -+ qt_libdir = $$NIX_OUTPUT_OUT/lib - } - contains(QMAKE_DEFAULT_LIBDIRS, $$qt_libdir) { - lib_replace.match = "[^ ']*$$rplbase/lib" -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_docs.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_docs.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_docs.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_docs.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -45,7 +45,7 @@ - - QDOC += -outputdir $$shell_quote($$QMAKE_DOCS_OUTPUTDIR) - !build_online_docs: \ -- QDOC += -installdir $$shell_quote($$[QT_INSTALL_DOCS]) -+ QDOC += -installdir $$shell_quote($$NIX_OUTPUT_DOC) - PREP_DOC_INDEXES = - DOC_INDEXES = - !isEmpty(QTREPOS) { -@@ -64,8 +64,8 @@ - DOC_INDEXES += -indexdir $$shell_quote($$qrep/doc) - } else { - prepare_docs: \ -- PREP_DOC_INDEXES += -indexdir $$shell_quote($$[QT_INSTALL_DOCS/get]) -- DOC_INDEXES += -indexdir $$shell_quote($$[QT_INSTALL_DOCS/get]) -+ PREP_DOC_INDEXES += -indexdir $$shell_quote($$NIX_OUTPUT_DOC) -+ DOC_INDEXES += -indexdir $$shell_quote($$NIX_OUTPUT_DOC) - } - - qtattributionsscanner.target = qtattributionsscanner -@@ -88,12 +88,12 @@ - qch_docs.commands = $$QHELPGENERATOR $$shell_quote($$QMAKE_DOCS_OUTPUTDIR/$${QMAKE_DOCS_TARGET}.qhp) -o $$shell_quote($$QMAKE_DOCS_BASE_OUTDIR/$${QMAKE_DOCS_TARGET}.qch) - - inst_html_docs.files = $$QMAKE_DOCS_OUTPUTDIR -- inst_html_docs.path = $$[QT_INSTALL_DOCS] -+ inst_html_docs.path = $$NIX_OUTPUT_DOC - inst_html_docs.CONFIG += no_check_exist directory no_default_install no_build - INSTALLS += inst_html_docs - - inst_qch_docs.files = $$QMAKE_DOCS_BASE_OUTDIR/$${QMAKE_DOCS_TARGET}.qch -- inst_qch_docs.path = $$[QT_INSTALL_DOCS] -+ inst_qch_docs.path = $$NIX_OUTPUT_DOC - inst_qch_docs.CONFIG += no_check_exist no_default_install no_build - INSTALLS += inst_qch_docs - -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_example_installs.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_example_installs.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_example_installs.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_example_installs.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -88,7 +88,7 @@ - $$SOURCES $$HEADERS $$FORMS $$RESOURCES $$TRANSLATIONS \ - $$DBUS_ADAPTORS $$DBUS_INTERFACES - addInstallFiles(sources.files, $$sourcefiles) --sources.path = $$[QT_INSTALL_EXAMPLES]/$$probase -+sources.path = $$NIX_OUTPUT_DEV/share/examples/$$probase - INSTALLS += sources - - check_examples { -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_functions.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_functions.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_functions.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_functions.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -69,7 +69,7 @@ - defineTest(qtPrepareTool) { - cmd = $$eval(QT_TOOL.$${2}.binary) - isEmpty(cmd) { -- cmd = $$[QT_HOST_BINS]/$$2 -+ cmd = $$system("command -v $$2") - exists($${cmd}.pl) { - $${1}_EXE = $${cmd}.pl - cmd = perl -w $$system_path($${cmd}.pl) -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_installs.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_installs.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_installs.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_installs.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -12,16 +12,10 @@ - #library - !qt_no_install_library { - win32 { -- host_build: \ -- dlltarget.path = $$[QT_HOST_BINS] -- else: \ -- dlltarget.path = $$[QT_INSTALL_BINS] -+ dlltarget.path = $$NIX_OUTPUT_BIN/bin - INSTALLS += dlltarget - } -- host_build: \ -- target.path = $$[QT_HOST_LIBS] -- else: \ -- target.path = $$[QT_INSTALL_LIBS] -+ target.path = $$NIX_OUTPUT_OUT/lib - !static: target.CONFIG = no_dll - INSTALLS += target - } -@@ -29,35 +23,35 @@ - #headers - qt_install_headers { - gen_headers.files = $$SYNCQT.GENERATED_HEADER_FILES -- gen_headers.path = $$[QT_INSTALL_HEADERS]/$$MODULE_INCNAME -+ gen_headers.path = $$NIX_OUTPUT_DEV/include/$$MODULE_INCNAME - INSTALLS += gen_headers - - targ_headers.files = $$SYNCQT.HEADER_FILES $$SYNCQT.INJECTED_HEADER_FILES -- targ_headers.path = $$[QT_INSTALL_HEADERS]/$$MODULE_INCNAME -+ targ_headers.path = $$NIX_OUTPUT_DEV/include/$$MODULE_INCNAME - INSTALLS += targ_headers - - private_headers.files = $$SYNCQT.PRIVATE_HEADER_FILES $$SYNCQT.INJECTED_PRIVATE_HEADER_FILES -- private_headers.path = $$[QT_INSTALL_HEADERS]/$$MODULE_INCNAME/$$VERSION/$$MODULE_INCNAME/private -+ private_headers.path = $$NIX_OUTPUT_DEV/include/$$MODULE_INCNAME/$$VERSION/$$MODULE_INCNAME/private - generated_privates: \ - private_headers.CONFIG += no_check_exist - INSTALLS += private_headers - - qpa_headers.files = $$SYNCQT.QPA_HEADER_FILES -- qpa_headers.path = $$[QT_INSTALL_HEADERS]/$$MODULE_INCNAME/$$VERSION/$$MODULE_INCNAME/qpa -+ qpa_headers.path = $$NIX_OUTPUT_DEV/include/$$MODULE_INCNAME/$$VERSION/$$MODULE_INCNAME/qpa - INSTALLS += qpa_headers - } - - #module - qt_install_module { - !isEmpty(MODULE_PRI) { -- pritarget.path = $$[QT_HOST_DATA]/mkspecs/modules -+ pritarget.path = $$NIX_OUTPUT_DEV/mkspecs/modules - pritarget.files = $$MODULE_PRI - INSTALLS += pritarget - } else: isEmpty(MODULE_PRIVATE_PRI) { - warning("Project $$basename(_PRO_FILE_) is a module, but has not defined MODULE_PRI, which is required for Qt to expose the module to other projects.") - } - !isEmpty(MODULE_PRIVATE_PRI) { -- privpritarget.path = $$[QT_HOST_DATA]/mkspecs/modules -+ privpritarget.path = $$NIX_OUTPUT_DEV/mkspecs/modules - privpritarget.files = $$MODULE_PRIVATE_PRI - INSTALLS += privpritarget - } -diff -aur qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_plugin.prf qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_plugin.prf ---- qtbase-everywhere-src-5.12.3-a/mkspecs/features/qt_plugin.prf 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/mkspecs/features/qt_plugin.prf 2019-07-10 09:35:08.918628595 -0500 -@@ -88,7 +88,7 @@ - } - } - --target.path = $$[QT_INSTALL_PLUGINS]/$$PLUGIN_TYPE -+target.path = $$NIX_OUTPUT_PLUGIN/$$PLUGIN_TYPE - INSTALLS += target - - TARGET = $$qt5LibraryTarget($$TARGET) -diff -aur qtbase-everywhere-src-5.12.3-a/src/corelib/kernel/qcoreapplication.cpp qtbase-everywhere-src-5.12.3-b/src/corelib/kernel/qcoreapplication.cpp ---- qtbase-everywhere-src-5.12.3-a/src/corelib/kernel/qcoreapplication.cpp 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/corelib/kernel/qcoreapplication.cpp 2019-07-10 09:35:08.919628625 -0500 -@@ -2668,6 +2668,15 @@ - QStringList *app_libpaths = new QStringList; - coreappdata()->app_libpaths.reset(app_libpaths); - -+ // Add library paths derived from PATH -+ const QStringList paths = QFile::decodeName(qgetenv("PATH")).split(':'); -+ const QString plugindir = QStringLiteral("../" NIXPKGS_QT_PLUGIN_PREFIX); -+ for (const QString &path: paths) { -+ if (!path.isEmpty()) { -+ app_libpaths->append(QDir::cleanPath(path + QDir::separator() + plugindir)); -+ } -+ } -+ - QString libPathEnv = qEnvironmentVariable("QT_PLUGIN_PATH"); - if (!libPathEnv.isEmpty()) { - QStringList paths = libPathEnv.split(QDir::listSeparator(), QString::SkipEmptyParts); -diff -aur qtbase-everywhere-src-5.12.3-a/src/corelib/kernel/qcore_mac_p.h qtbase-everywhere-src-5.12.3-b/src/corelib/kernel/qcore_mac_p.h ---- qtbase-everywhere-src-5.12.3-a/src/corelib/kernel/qcore_mac_p.h 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/corelib/kernel/qcore_mac_p.h 2019-07-10 09:35:08.920628655 -0500 -@@ -212,7 +212,7 @@ - - // -------------------------------------------------------------------------- - --#if !defined(QT_BOOTSTRAPPED) -+#if 0 - - QT_END_NAMESPACE - #include <os/activity.h> -@@ -290,7 +290,19 @@ - - #define QT_APPLE_SCOPED_LOG_ACTIVITY(...) QAppleLogActivity scopedLogActivity = QT_APPLE_LOG_ACTIVITY(__VA_ARGS__).enter(); - --#endif // !defined(QT_BOOTSTRAPPED) -+#else // !defined(QT_BOOTSTRAPPED) -+ -+#define QT_APPLE_LOG_ACTIVITY_WITH_PARENT3(...) -+#define QT_APPLE_LOG_ACTIVITY_WITH_PARENT2(...) -+#define QT_APPLE_LOG_ACTIVITY_WITH_PARENT(...) -+ -+#define QT_APPLE_LOG_ACTIVITY2(...) -+#define QT_APPLE_LOG_ACTIVITY1(...) -+#define QT_APPLE_LOG_ACTIVITY(...) -+ -+#define QT_APPLE_SCOPED_LOG_ACTIVITY(...) -+ -+#endif - - // ------------------------------------------------------------------------- - -Only in qtbase-everywhere-src-5.12.3-b/src/corelib/kernel: qcore_mac_p.h.orig -diff -aur qtbase-everywhere-src-5.12.3-a/src/corelib/Qt5CoreConfigExtras.cmake.in qtbase-everywhere-src-5.12.3-b/src/corelib/Qt5CoreConfigExtras.cmake.in ---- qtbase-everywhere-src-5.12.3-a/src/corelib/Qt5CoreConfigExtras.cmake.in 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/corelib/Qt5CoreConfigExtras.cmake.in 2019-07-10 09:35:08.918628595 -0500 -@@ -3,7 +3,7 @@ - add_executable(Qt5::qmake IMPORTED) - - !!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_BIN_DIR}qmake$$CMAKE_BIN_SUFFIX\") -+ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_BIN_DIR}qmake$$CMAKE_BIN_SUFFIX\") - !!ELSE - set(imported_location \"$${CMAKE_BIN_DIR}qmake$$CMAKE_BIN_SUFFIX\") - !!ENDIF -@@ -18,7 +18,7 @@ - add_executable(Qt5::moc IMPORTED) - - !!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_BIN_DIR}moc$$CMAKE_BIN_SUFFIX\") -+ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_BIN_DIR}moc$$CMAKE_BIN_SUFFIX\") - !!ELSE - set(imported_location \"$${CMAKE_BIN_DIR}moc$$CMAKE_BIN_SUFFIX\") - !!ENDIF -@@ -35,7 +35,7 @@ - add_executable(Qt5::rcc IMPORTED) - - !!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_BIN_DIR}rcc$$CMAKE_BIN_SUFFIX\") -+ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_BIN_DIR}rcc$$CMAKE_BIN_SUFFIX\") - !!ELSE - set(imported_location \"$${CMAKE_BIN_DIR}rcc$$CMAKE_BIN_SUFFIX\") - !!ENDIF -@@ -116,7 +116,7 @@ - !!IF !isEmpty(CMAKE_RELEASE_TYPE) - set_property(TARGET Qt5::WinMain APPEND PROPERTY IMPORTED_CONFIGURATIONS RELEASE) - !!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_RELEASE}\") -+ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_RELEASE}\") - !!ELSE - set(imported_location \"$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_RELEASE}\") - !!ENDIF -@@ -130,7 +130,7 @@ - set_property(TARGET Qt5::WinMain APPEND PROPERTY IMPORTED_CONFIGURATIONS DEBUG) - - !!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_DEBUG}\") -+ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_DEBUG}\") - !!ELSE - set(imported_location \"$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_DEBUG}\") - !!ENDIF -diff -aur qtbase-everywhere-src-5.12.3-a/src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in qtbase-everywhere-src-5.12.3-b/src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in ---- qtbase-everywhere-src-5.12.3-a/src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in 2019-07-10 09:35:08.918628595 -0500 -@@ -1,6 +1,6 @@ - - !!IF isEmpty(CMAKE_HOST_DATA_DIR_IS_ABSOLUTE) --set(_qt5_corelib_extra_includes \"${_qt5Core_install_prefix}/$${CMAKE_HOST_DATA_DIR}/mkspecs/$${CMAKE_MKSPEC}\") -+set(_qt5_corelib_extra_includes \"$$NIX_OUTPUT_DEV/$${CMAKE_HOST_DATA_DIR}/mkspecs/$${CMAKE_MKSPEC}\") - !!ELSE - set(_qt5_corelib_extra_includes \"$${CMAKE_HOST_DATA_DIR}mkspecs/$${CMAKE_MKSPEC}\") - !!ENDIF -diff -aur qtbase-everywhere-src-5.12.3-a/src/corelib/Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in qtbase-everywhere-src-5.12.3-b/src/corelib/Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in ---- qtbase-everywhere-src-5.12.3-a/src/corelib/Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/corelib/Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in 2019-07-10 09:35:08.918628595 -0500 -@@ -1,6 +1,6 @@ - - !!IF isEmpty(CMAKE_INSTALL_DATA_DIR_IS_ABSOLUTE) --set(_qt5_corelib_extra_includes \"${_qt5Core_install_prefix}/$${CMAKE_INSTALL_DATA_DIR}/mkspecs/$${CMAKE_MKSPEC}\") -+set(_qt5_corelib_extra_includes \"$$NIX_OUTPUT_DEV/$${CMAKE_INSTALL_DATA_DIR}/mkspecs/$${CMAKE_MKSPEC}\") - !!ELSE - set(_qt5_corelib_extra_includes \"$${CMAKE_INSTALL_DATA_DIR}mkspecs/$${CMAKE_MKSPEC}\") - !!ENDIF -diff -aur qtbase-everywhere-src-5.12.3-a/src/corelib/tools/qtimezoneprivate_tz.cpp qtbase-everywhere-src-5.12.3-b/src/corelib/tools/qtimezoneprivate_tz.cpp ---- qtbase-everywhere-src-5.12.3-a/src/corelib/tools/qtimezoneprivate_tz.cpp 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/corelib/tools/qtimezoneprivate_tz.cpp 2019-07-10 09:35:08.919628625 -0500 -@@ -70,7 +70,11 @@ - // Parse zone.tab table, assume lists all installed zones, if not will need to read directories - static QTzTimeZoneHash loadTzTimeZones() - { -- QString path = QStringLiteral("/usr/share/zoneinfo/zone.tab"); -+ // Try TZDIR first, in case we're running on NixOS. -+ QString path = QFile::decodeName(qgetenv("TZDIR")) + QStringLiteral("/zone.tab"); -+ // Fallback to traditional paths in case we are not on NixOS. -+ if (!QFile::exists(path)) -+ path = QStringLiteral("/usr/share/zoneinfo/zone.tab"); - if (!QFile::exists(path)) - path = QStringLiteral("/usr/lib/zoneinfo/zone.tab"); - -@@ -644,12 +648,16 @@ - if (!tzif.open(QIODevice::ReadOnly)) - return; - } else { -- // Open named tz, try modern path first, if fails try legacy path -- tzif.setFileName(QLatin1String("/usr/share/zoneinfo/") + QString::fromLocal8Bit(ianaId)); -+ // Try TZDIR first, in case we're running on NixOS -+ tzif.setFileName(QFile::decodeName(qgetenv("TZDIR")) + QStringLiteral("/") + QString::fromLocal8Bit(ianaId)); - if (!tzif.open(QIODevice::ReadOnly)) { -- tzif.setFileName(QLatin1String("/usr/lib/zoneinfo/") + QString::fromLocal8Bit(ianaId)); -- if (!tzif.open(QIODevice::ReadOnly)) -- return; -+ // Open named tz, try modern path first, if fails try legacy path -+ tzif.setFileName(QLatin1String("/usr/share/zoneinfo/") + QString::fromLocal8Bit(ianaId)); -+ if (!tzif.open(QIODevice::ReadOnly)) { -+ tzif.setFileName(QLatin1String("/usr/lib/zoneinfo/") + QString::fromLocal8Bit(ianaId)); -+ if (!tzif.open(QIODevice::ReadOnly)) -+ return; -+ } - } - } - -diff -aur qtbase-everywhere-src-5.12.3-a/src/dbus/Qt5DBusConfigExtras.cmake.in qtbase-everywhere-src-5.12.3-b/src/dbus/Qt5DBusConfigExtras.cmake.in ---- qtbase-everywhere-src-5.12.3-a/src/dbus/Qt5DBusConfigExtras.cmake.in 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/dbus/Qt5DBusConfigExtras.cmake.in 2019-07-10 09:35:08.919628625 -0500 -@@ -2,11 +2,7 @@ - if (NOT TARGET Qt5::qdbuscpp2xml) - add_executable(Qt5::qdbuscpp2xml IMPORTED) - --!!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5DBus_install_prefix}/$${CMAKE_BIN_DIR}qdbuscpp2xml$$CMAKE_BIN_SUFFIX\") --!!ELSE -- set(imported_location \"$${CMAKE_BIN_DIR}qdbuscpp2xml$$CMAKE_BIN_SUFFIX\") --!!ENDIF -+ set(imported_location \"$$NIX_OUTPUT_DEV/bin/qdbuscpp2xml$$CMAKE_BIN_SUFFIX\") - _qt5_DBus_check_file_exists(${imported_location}) - - set_target_properties(Qt5::qdbuscpp2xml PROPERTIES -@@ -17,11 +13,7 @@ - if (NOT TARGET Qt5::qdbusxml2cpp) - add_executable(Qt5::qdbusxml2cpp IMPORTED) - --!!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5DBus_install_prefix}/$${CMAKE_BIN_DIR}qdbusxml2cpp$$CMAKE_BIN_SUFFIX\") --!!ELSE -- set(imported_location \"$${CMAKE_BIN_DIR}qdbusxml2cpp$$CMAKE_BIN_SUFFIX\") --!!ENDIF -+ set(imported_location \"$$NIX_OUTPUT_DEV/bin/qdbusxml2cpp$$CMAKE_BIN_SUFFIX\") - _qt5_DBus_check_file_exists(${imported_location}) - - set_target_properties(Qt5::qdbusxml2cpp PROPERTIES -diff -aur qtbase-everywhere-src-5.12.3-a/src/gui/Qt5GuiConfigExtras.cmake.in qtbase-everywhere-src-5.12.3-b/src/gui/Qt5GuiConfigExtras.cmake.in ---- qtbase-everywhere-src-5.12.3-a/src/gui/Qt5GuiConfigExtras.cmake.in 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/gui/Qt5GuiConfigExtras.cmake.in 2019-07-10 09:35:08.919628625 -0500 -@@ -2,7 +2,7 @@ - !!IF !isEmpty(CMAKE_ANGLE_EGL_DLL_RELEASE) - - !!IF isEmpty(CMAKE_INCLUDE_DIR_IS_ABSOLUTE) --set(Qt5Gui_EGL_INCLUDE_DIRS \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$$CMAKE_INCLUDE_DIR/QtANGLE\") -+set(Qt5Gui_EGL_INCLUDE_DIRS \"$$NIX_OUTPUT_DEV/$$CMAKE_INCLUDE_DIR/QtANGLE\") - !!ELSE - set(Qt5Gui_EGL_INCLUDE_DIRS \"$$CMAKE_INCLUDE_DIR/QtANGLE\") - !!ENDIF -@@ -17,13 +17,13 @@ - set_property(TARGET Qt5::${TargetName} APPEND PROPERTY IMPORTED_CONFIGURATIONS ${Configuration}) - - !!IF isEmpty(CMAKE_DLL_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5Gui_install_prefix}/$${CMAKE_DLL_DIR}${LIB_LOCATION}\") -+ set(imported_location \"$$NIX_OUTPUT_OUT/$${CMAKE_DLL_DIR}${LIB_LOCATION}\") - !!ELSE - set(imported_location \"$${CMAKE_DLL_DIR}${LIB_LOCATION}\") - !!ENDIF - - !!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) -- set(imported_implib \"${_qt5Gui_install_prefix}/$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") -+ set(imported_implib \"$$NIX_OUTPUT_OUT/$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") - !!ELSE - set(imported_implib \"$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") - !!ENDIF -diff -aur qtbase-everywhere-src-5.12.3-a/src/plugins/platforminputcontexts/compose/generator/qtablegenerator.cpp qtbase-everywhere-src-5.12.3-b/src/plugins/platforminputcontexts/compose/generator/qtablegenerator.cpp ---- qtbase-everywhere-src-5.12.3-a/src/plugins/platforminputcontexts/compose/generator/qtablegenerator.cpp 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/plugins/platforminputcontexts/compose/generator/qtablegenerator.cpp 2019-07-10 09:35:08.919628625 -0500 -@@ -265,12 +265,9 @@ - m_possibleLocations.reserve(7); - if (qEnvironmentVariableIsSet("QTCOMPOSE")) - m_possibleLocations.append(QString::fromLocal8Bit(qgetenv("QTCOMPOSE"))); -- m_possibleLocations.append(QStringLiteral("/usr/share/X11/locale")); -- m_possibleLocations.append(QStringLiteral("/usr/local/share/X11/locale")); -- m_possibleLocations.append(QStringLiteral("/usr/lib/X11/locale")); -- m_possibleLocations.append(QStringLiteral("/usr/local/lib/X11/locale")); - m_possibleLocations.append(QStringLiteral(X11_PREFIX "/share/X11/locale")); - m_possibleLocations.append(QStringLiteral(X11_PREFIX "/lib/X11/locale")); -+ m_possibleLocations.append(QLatin1String(NIXPKGS_QTCOMPOSE)); - } - - QString TableGenerator::findComposeFile() -diff -aur qtbase-everywhere-src-5.12.3-a/src/plugins/platforms/xcb/qxcbcursor.cpp qtbase-everywhere-src-5.12.3-b/src/plugins/platforms/xcb/qxcbcursor.cpp ---- qtbase-everywhere-src-5.12.3-a/src/plugins/platforms/xcb/qxcbcursor.cpp 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/plugins/platforms/xcb/qxcbcursor.cpp 2019-07-10 09:35:08.919628625 -0500 -@@ -317,10 +317,10 @@ - #if QT_CONFIG(xcb_xlib) && QT_CONFIG(library) - static bool function_ptrs_not_initialized = true; - if (function_ptrs_not_initialized) { -- QLibrary xcursorLib(QLatin1String("Xcursor"), 1); -+ QLibrary xcursorLib(QLatin1String(NIXPKGS_LIBXCURSOR), 1); - bool xcursorFound = xcursorLib.load(); - if (!xcursorFound) { // try without the version number -- xcursorLib.setFileName(QLatin1String("Xcursor")); -+ xcursorLib.setFileName(QLatin1String(NIXPKGS_LIBXCURSOR)); - xcursorFound = xcursorLib.load(); - } - if (xcursorFound) { -Only in qtbase-everywhere-src-5.12.3-b/src/plugins/platforms/xcb: qxcbcursor.cpp.orig -diff -aur qtbase-everywhere-src-5.12.3-a/src/plugins/platformthemes/gtk3/main.cpp qtbase-everywhere-src-5.12.3-b/src/plugins/platformthemes/gtk3/main.cpp ---- qtbase-everywhere-src-5.12.3-a/src/plugins/platformthemes/gtk3/main.cpp 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/plugins/platformthemes/gtk3/main.cpp 2019-07-10 09:35:08.919628625 -0500 -@@ -39,6 +39,7 @@ - - #include <qpa/qplatformthemeplugin.h> - #include "qgtk3theme.h" -+#include <QFile> - - QT_BEGIN_NAMESPACE - -@@ -54,8 +55,22 @@ - QPlatformTheme *QGtk3ThemePlugin::create(const QString &key, const QStringList ¶ms) - { - Q_UNUSED(params); -- if (!key.compare(QLatin1String(QGtk3Theme::name), Qt::CaseInsensitive)) -+ if (!key.compare(QLatin1String(QGtk3Theme::name), Qt::CaseInsensitive)) { -+ -+#ifdef NIXPKGS_QGTK3_XDG_DATA_DIRS -+ QStringList XDG_DATA_DIRS = QFile::decodeName(qgetenv("XDG_DATA_DIRS")).split(':'); -+ XDG_DATA_DIRS << QLatin1String(NIXPKGS_QGTK3_XDG_DATA_DIRS); -+ qputenv("XDG_DATA_DIRS", QFile::encodeName(XDG_DATA_DIRS.join(':'))); -+#endif -+ -+#ifdef NIXPKGS_QGTK3_GIO_EXTRA_MODULES -+ QStringList GIO_EXTRA_MODULES = QFile::decodeName(qgetenv("GIO_EXTRA_MODULES")).split(':'); -+ GIO_EXTRA_MODULES << QLatin1String(NIXPKGS_QGTK3_GIO_EXTRA_MODULES); -+ qputenv("GIO_EXTRA_MODULES", QFile::encodeName(GIO_EXTRA_MODULES.join(':'))); -+#endif -+ - return new QGtk3Theme; -+ } - - return 0; - } -diff -aur qtbase-everywhere-src-5.12.3-a/src/testlib/qappletestlogger.cpp qtbase-everywhere-src-5.12.3-b/src/testlib/qappletestlogger.cpp ---- qtbase-everywhere-src-5.12.3-a/src/testlib/qappletestlogger.cpp 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/testlib/qappletestlogger.cpp 2019-07-10 09:35:08.920628655 -0500 -@@ -43,7 +43,7 @@ - - QT_BEGIN_NAMESPACE - --#if defined(QT_USE_APPLE_UNIFIED_LOGGING) -+#if defined(QT_USE_APPLE_UNIFIED_LOGGING) && 0 - - using namespace QTestPrivate; - -diff -aur qtbase-everywhere-src-5.12.3-a/src/testlib/qtestassert.h qtbase-everywhere-src-5.12.3-b/src/testlib/qtestassert.h ---- qtbase-everywhere-src-5.12.3-a/src/testlib/qtestassert.h 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/testlib/qtestassert.h 2019-07-10 09:35:08.919628625 -0500 -@@ -44,10 +44,13 @@ - - QT_BEGIN_NAMESPACE - -- -+#if defined(QT_NO_DEBUG) && !defined(QT_FORCE_ASSERTS) -+#define QTEST_ASSERT(cond) do { } while ((false) && (cond)) -+#define QTEST_ASSERT_X(cond, where, what) do { } while ((false) && (cond)) -+#else - #define QTEST_ASSERT(cond) do { if (!(cond)) qt_assert(#cond,__FILE__,__LINE__); } while (false) -- - #define QTEST_ASSERT_X(cond, where, what) do { if (!(cond)) qt_assert_x(where, what,__FILE__,__LINE__); } while (false) -+#endif - - QT_END_NAMESPACE - -diff -aur qtbase-everywhere-src-5.12.3-a/src/widgets/Qt5WidgetsConfigExtras.cmake.in qtbase-everywhere-src-5.12.3-b/src/widgets/Qt5WidgetsConfigExtras.cmake.in ---- qtbase-everywhere-src-5.12.3-a/src/widgets/Qt5WidgetsConfigExtras.cmake.in 2019-04-09 04:51:26.000000000 -0500 -+++ qtbase-everywhere-src-5.12.3-b/src/widgets/Qt5WidgetsConfigExtras.cmake.in 2019-07-10 09:35:08.919628625 -0500 -@@ -3,7 +3,7 @@ - add_executable(Qt5::uic IMPORTED) - - !!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) -- set(imported_location \"${_qt5Widgets_install_prefix}/$${CMAKE_BIN_DIR}uic$$CMAKE_BIN_SUFFIX\") -+ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_BIN_DIR}uic$$CMAKE_BIN_SUFFIX\") - !!ELSE - set(imported_location \"$${CMAKE_BIN_DIR}uic$$CMAKE_BIN_SUFFIX\") - !!ENDIF -diff --git a/mkspecs/features/mac/sdk.mk b/mkspecs/features/mac/sdk.mk -index c40f58c987..e69de29bb2 100644 ---- a/mkspecs/features/mac/sdk.mk -+++ b/mkspecs/features/mac/sdk.mk -@@ -1,25 +0,0 @@ -- --ifeq ($(QT_MAC_SDK_NO_VERSION_CHECK),) -- CHECK_SDK_COMMAND = /usr/bin/xcrun --sdk $(EXPORT_QMAKE_MAC_SDK) -show-sdk-version 2>&1 -- CURRENT_MAC_SDK_VERSION := $(shell DEVELOPER_DIR=$(EXPORT_QMAKE_XCODE_DEVELOPER_PATH) $(CHECK_SDK_COMMAND)) -- ifneq ($(CURRENT_MAC_SDK_VERSION),$(EXPORT_QMAKE_MAC_SDK_VERSION)) -- # We don't want to complain about out of date SDK unless the target needs to be remade. -- # This covers use-cases such as running 'make check' after moving the build to a -- # computer without Xcode or with a different Xcode version. -- TARGET_UP_TO_DATE := $(shell QT_MAC_SDK_NO_VERSION_CHECK=1 $(MAKE) --question $(QMAKE_TARGET) && echo 1 || echo 0) -- ifeq ($(TARGET_UP_TO_DATE),0) -- ifneq ($(findstring missing DEVELOPER_DIR path,$(CURRENT_MAC_SDK_VERSION)),) -- $(info The developer dir $(EXPORT_QMAKE_XCODE_DEVELOPER_PATH) is no longer valid.) -- else ifneq ($(findstring SDK "$(EXPORT_QMAKE_MAC_SDK)" cannot be located,$(CURRENT_MAC_SDK_VERSION)),) -- $(info The developer dir $(EXPORT_QMAKE_XCODE_DEVELOPER_PATH) no longer contains the $(EXPORT_QMAKE_MAC_SDK_VERSION) platform SDK.) -- else ifneq ($(CURRENT_MAC_SDK_VERSION),) -- $(info The platform SDK has been changed from version $(EXPORT_QMAKE_MAC_SDK_VERSION) to version $(CURRENT_MAC_SDK_VERSION).) -- else -- $(info Unknown error resolving current platform SDK version.) -- endif -- $(info This requires a fresh build. Please wipe the build directory completely,) -- $(info including any .qmake.stash and .qmake.cache files generated by qmake.) -- $(error ^) -- endif -- endif --endif diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0001-qtbase-mkspecs-mac.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0001-qtbase-mkspecs-mac.patch new file mode 100644 index 00000000000..de3d68357c7 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0001-qtbase-mkspecs-mac.patch @@ -0,0 +1,397 @@ +From 58d98b66da5d748d610f053053bd12e42c97d9e6 Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:34:00 -0500 +Subject: [PATCH 01/11] qtbase-mkspecs-mac + +--- + mkspecs/common/mac.conf | 2 +- + mkspecs/features/mac/default_post.prf | 196 -------------------------- + mkspecs/features/mac/default_pre.prf | 58 -------- + mkspecs/features/mac/sdk.mk | 25 ---- + mkspecs/features/mac/sdk.prf | 61 -------- + 5 files changed, 1 insertion(+), 341 deletions(-) + +diff --git a/mkspecs/common/mac.conf b/mkspecs/common/mac.conf +index b77494ec..470c38e7 100644 +--- a/mkspecs/common/mac.conf ++++ b/mkspecs/common/mac.conf +@@ -24,7 +24,7 @@ QMAKE_INCDIR_OPENGL = \ + + QMAKE_FIX_RPATH = install_name_tool -id + +-QMAKE_LFLAGS_RPATH = -Wl,-rpath, ++QMAKE_LFLAGS_RPATH = + QMAKE_LFLAGS_GCSECTIONS = -Wl,-dead_strip + + QMAKE_LFLAGS_REL_RPATH = +diff --git a/mkspecs/features/mac/default_post.prf b/mkspecs/features/mac/default_post.prf +index c46222de..18dcfbce 100644 +--- a/mkspecs/features/mac/default_post.prf ++++ b/mkspecs/features/mac/default_post.prf +@@ -64,202 +64,6 @@ qt { + } + } + +-# Add the same default rpaths as Xcode does for new projects. +-# This is especially important for iOS/tvOS/watchOS where no other option is possible. +-!no_default_rpath { +- QMAKE_RPATHDIR += @executable_path/../Frameworks +- equals(TEMPLATE, lib):!plugin:lib_bundle: QMAKE_RPATHDIR += @loader_path/Frameworks +-} +- +-# Don't pass -headerpad_max_install_names when using Bitcode. +-# In that case the linker emits a warning stating that the flag is ignored when +-# used with bitcode, for reasons that cannot be determined (rdar://problem/20748962). +-# Using this flag is also unnecessary in practice on UIKit platforms since they +-# are sandboxed, and only UIKit platforms support bitcode to begin with. +-!bitcode: QMAKE_LFLAGS += $$QMAKE_LFLAGS_HEADERPAD +- +-app_extension_api_only { +- QMAKE_CFLAGS += $$QMAKE_CFLAGS_APPLICATION_EXTENSION +- QMAKE_CXXFLAGS += $$QMAKE_CFLAGS_APPLICATION_EXTENSION +- QMAKE_CXXFLAGS_PRECOMPILE += $$QMAKE_CFLAGS_APPLICATION_EXTENSION +- QMAKE_LFLAGS += $$QMAKE_CFLAGS_APPLICATION_EXTENSION +-} +- +-macx-xcode { +- qmake_pkginfo_typeinfo.name = QMAKE_PKGINFO_TYPEINFO +- !isEmpty(QMAKE_PKGINFO_TYPEINFO): \ +- qmake_pkginfo_typeinfo.value = $$QMAKE_PKGINFO_TYPEINFO +- else: \ +- qmake_pkginfo_typeinfo.value = "????" +- QMAKE_MAC_XCODE_SETTINGS += qmake_pkginfo_typeinfo +- +- !isEmpty(VERSION) { +- l = $$split(VERSION, '.') 0 0 # make sure there are at least three +- VER_MAJ = $$member(l, 0, 0) +- VER_MIN = $$member(l, 1, 1) +- VER_PAT = $$member(l, 2, 2) +- unset(l) +- +- qmake_full_version.name = QMAKE_FULL_VERSION +- qmake_full_version.value = $${VER_MAJ}.$${VER_MIN}.$${VER_PAT} +- QMAKE_MAC_XCODE_SETTINGS += qmake_full_version +- +- qmake_short_version.name = QMAKE_SHORT_VERSION +- qmake_short_version.value = $${VER_MAJ}.$${VER_MIN} +- QMAKE_MAC_XCODE_SETTINGS += qmake_short_version +- } +- +- !isEmpty(QMAKE_XCODE_DEBUG_INFORMATION_FORMAT) { +- debug_information_format.name = DEBUG_INFORMATION_FORMAT +- debug_information_format.value = $$QMAKE_XCODE_DEBUG_INFORMATION_FORMAT +- debug_information_format.build = debug +- QMAKE_MAC_XCODE_SETTINGS += debug_information_format +- } +- +- QMAKE_XCODE_ARCHS = +- +- arch_device.name = "ARCHS[sdk=$${device.sdk}*]" +- arch_device.value = $$QMAKE_APPLE_DEVICE_ARCHS +- QMAKE_XCODE_ARCHS += $$QMAKE_APPLE_DEVICE_ARCHS +- QMAKE_MAC_XCODE_SETTINGS += arch_device +- +- simulator { +- arch_simulator.name = "ARCHS[sdk=$${simulator.sdk}*]" +- arch_simulator.value = $$QMAKE_APPLE_SIMULATOR_ARCHS +- QMAKE_XCODE_ARCHS += $$QMAKE_APPLE_SIMULATOR_ARCHS +- QMAKE_MAC_XCODE_SETTINGS += arch_simulator +- } +- +- only_active_arch.name = ONLY_ACTIVE_ARCH +- only_active_arch.value = YES +- only_active_arch.build = debug +- QMAKE_MAC_XCODE_SETTINGS += only_active_arch +-} else { +- device|!simulator: VALID_DEVICE_ARCHS = $$QMAKE_APPLE_DEVICE_ARCHS +- simulator: VALID_SIMULATOR_ARCHS = $$QMAKE_APPLE_SIMULATOR_ARCHS +- VALID_ARCHS = $$VALID_DEVICE_ARCHS $$VALID_SIMULATOR_ARCHS +- +- isEmpty(VALID_ARCHS): \ +- error("QMAKE_APPLE_DEVICE_ARCHS or QMAKE_APPLE_SIMULATOR_ARCHS must contain at least one architecture") +- +- single_arch: VALID_ARCHS = $$first(VALID_ARCHS) +- +- ACTIVE_ARCHS = $(filter $(EXPORT_VALID_ARCHS), $(ARCHS)) +- ARCH_ARGS = $(foreach arch, $(if $(EXPORT_ACTIVE_ARCHS), $(EXPORT_ACTIVE_ARCHS), $(EXPORT_VALID_ARCHS)), -arch $(arch)) +- +- QMAKE_EXTRA_VARIABLES += VALID_ARCHS ACTIVE_ARCHS ARCH_ARGS +- +- arch_flags = $(EXPORT_ARCH_ARGS) +- +- QMAKE_CFLAGS += $$arch_flags +- QMAKE_CXXFLAGS += $$arch_flags +- QMAKE_LFLAGS += $$arch_flags +- +- QMAKE_PCH_ARCHS = $$VALID_ARCHS +- +- macos: deployment_target = $$QMAKE_MACOSX_DEPLOYMENT_TARGET +- ios: deployment_target = $$QMAKE_IOS_DEPLOYMENT_TARGET +- tvos: deployment_target = $$QMAKE_TVOS_DEPLOYMENT_TARGET +- watchos: deployment_target = $$QMAKE_WATCHOS_DEPLOYMENT_TARGET +- +- # If we're doing a simulator and device build, device and simulator +- # architectures use different paths and flags for the sysroot and +- # deployment target switch, so we must multiplex them across multiple +- # architectures using -Xarch. Otherwise we fall back to the simple path. +- # This is not strictly necessary, but results in cleaner command lines +- # and makes it easier for people to override EXPORT_VALID_ARCHS to limit +- # individual rules to a different set of architecture(s) from the overall +- # build (such as machtest in QtCore). +- simulator:device { +- QMAKE_XARCH_CFLAGS = +- QMAKE_XARCH_LFLAGS = +- QMAKE_EXTRA_VARIABLES += QMAKE_XARCH_CFLAGS QMAKE_XARCH_LFLAGS +- +- for (arch, VALID_ARCHS) { +- contains(VALID_SIMULATOR_ARCHS, $$arch) { +- sdk = $$simulator.sdk +- version_identifier = $$simulator.deployment_identifier +- } else { +- sdk = $$device.sdk +- version_identifier = $$device.deployment_identifier +- } +- +- version_min_flags = \ +- -Xarch_$${arch} \ +- -m$${version_identifier}-version-min=$$deployment_target +- QMAKE_XARCH_CFLAGS_$${arch} = $$version_min_flags \ +- -Xarch_$${arch} \ +- -isysroot$$xcodeSDKInfo(Path, $$sdk) +- QMAKE_XARCH_LFLAGS_$${arch} = $$version_min_flags \ +- -Xarch_$${arch} \ +- -Wl,-syslibroot,$$xcodeSDKInfo(Path, $$sdk) +- +- QMAKE_XARCH_CFLAGS += $(EXPORT_QMAKE_XARCH_CFLAGS_$${arch}) +- QMAKE_XARCH_LFLAGS += $(EXPORT_QMAKE_XARCH_LFLAGS_$${arch}) +- +- QMAKE_EXTRA_VARIABLES += \ +- QMAKE_XARCH_CFLAGS_$${arch} \ +- QMAKE_XARCH_LFLAGS_$${arch} +- } +- +- QMAKE_CFLAGS += $(EXPORT_QMAKE_XARCH_CFLAGS) +- QMAKE_CXXFLAGS += $(EXPORT_QMAKE_XARCH_CFLAGS) +- QMAKE_LFLAGS += $(EXPORT_QMAKE_XARCH_LFLAGS) +- } else { +- simulator: \ +- version_identifier = $$simulator.deployment_identifier +- else: \ +- version_identifier = $$device.deployment_identifier +- version_min_flag = -m$${version_identifier}-version-min=$$deployment_target +- QMAKE_CFLAGS += -isysroot $$QMAKE_MAC_SDK_PATH $$version_min_flag +- QMAKE_CXXFLAGS += -isysroot $$QMAKE_MAC_SDK_PATH $$version_min_flag +- QMAKE_LFLAGS += -Wl,-syslibroot,$$QMAKE_MAC_SDK_PATH $$version_min_flag +- } +- +- # Enable precompiled headers for multiple architectures +- QMAKE_CFLAGS_USE_PRECOMPILE = +- for (arch, VALID_ARCHS) { +- icc_pch_style: \ +- use_flag = "-pch-use " +- else: \ +- use_flag = -include +- +- # Only use Xarch with multi-arch, as the option confuses ccache +- count(VALID_ARCHS, 1, greaterThan): \ +- QMAKE_CFLAGS_USE_PRECOMPILE += \ +- -Xarch_$${arch} +- +- QMAKE_CFLAGS_USE_PRECOMPILE += \ +- $${use_flag}${QMAKE_PCH_OUTPUT_$${arch}} +- } +- icc_pch_style { +- QMAKE_CXXFLAGS_USE_PRECOMPILE = $$QMAKE_CFLAGS_USE_PRECOMPILE -include ${QMAKE_PCH_INPUT} +- QMAKE_CFLAGS_USE_PRECOMPILE = +- } else { +- QMAKE_CXXFLAGS_USE_PRECOMPILE = $$QMAKE_CFLAGS_USE_PRECOMPILE +- QMAKE_OBJCFLAGS_USE_PRECOMPILE = $$QMAKE_CFLAGS_USE_PRECOMPILE +- QMAKE_OBJCXXFLAGS_USE_PRECOMPILE = $$QMAKE_CFLAGS_USE_PRECOMPILE +- } +- +- QMAKE_PCH_OUTPUT_EXT = _${QMAKE_PCH_ARCH}$${QMAKE_PCH_OUTPUT_EXT} +-} +- +-cache(QMAKE_XCODE_DEVELOPER_PATH, stash) +-!isEmpty(QMAKE_XCODE_VERSION): \ +- cache(QMAKE_XCODE_VERSION, stash) +- +-QMAKE_XCODE_LIBRARY_SUFFIX = $$qtPlatformTargetSuffix() +- +-xcode_product_bundle_identifier_setting.name = PRODUCT_BUNDLE_IDENTIFIER +-xcode_product_bundle_identifier_setting.value = $$QMAKE_TARGET_BUNDLE_PREFIX +-isEmpty(xcode_product_bundle_identifier_setting.value): \ +- xcode_product_bundle_identifier_setting.value = "com.yourcompany" +-xcode_product_bundle_target = $$QMAKE_BUNDLE +-isEmpty(xcode_product_bundle_target): \ +- xcode_product_bundle_target = ${PRODUCT_NAME:rfc1034identifier} +-xcode_product_bundle_identifier_setting.value = "$${xcode_product_bundle_identifier_setting.value}.$${xcode_product_bundle_target}" +-QMAKE_MAC_XCODE_SETTINGS += xcode_product_bundle_identifier_setting +- + !macx-xcode { + generate_xcode_project.commands = @$(QMAKE) -spec macx-xcode $(EXPORT__PRO_FILE_) + generate_xcode_project.target = xcodeproj +diff --git a/mkspecs/features/mac/default_pre.prf b/mkspecs/features/mac/default_pre.prf +index e3534561..3b01424e 100644 +--- a/mkspecs/features/mac/default_pre.prf ++++ b/mkspecs/features/mac/default_pre.prf +@@ -1,60 +1,2 @@ + CONFIG = asset_catalogs rez $$CONFIG + load(default_pre) +- +-isEmpty(QMAKE_XCODE_DEVELOPER_PATH) { +- # Get path of Xcode's Developer directory +- QMAKE_XCODE_DEVELOPER_PATH = $$system("/usr/bin/xcode-select --print-path 2>/dev/null") +- isEmpty(QMAKE_XCODE_DEVELOPER_PATH): \ +- error("Xcode path is not set. Please use xcode-select to choose Xcode installation path.") +- +- # Make sure Xcode path is valid +- !exists($$QMAKE_XCODE_DEVELOPER_PATH): \ +- error("Xcode is not installed in $${QMAKE_XCODE_DEVELOPER_PATH}. Please use xcode-select to choose Xcode installation path.") +-} +- +-isEmpty(QMAKE_XCODEBUILD_PATH): \ +- QMAKE_XCODEBUILD_PATH = $$system("/usr/bin/xcrun -find xcodebuild 2>/dev/null") +- +-!isEmpty(QMAKE_XCODEBUILD_PATH) { +- # Make sure Xcode is set up properly +- !system("/usr/bin/xcrun xcodebuild -license check 2>/dev/null"): \ +- error("Xcode not set up properly. You need to confirm the license agreement by running 'sudo xcrun xcodebuild -license accept'.") +- +- isEmpty(QMAKE_XCODE_VERSION) { +- # Extract Xcode version using xcodebuild +- xcode_version = $$system("/usr/bin/xcrun xcodebuild -version") +- QMAKE_XCODE_VERSION = $$member(xcode_version, 1) +- isEmpty(QMAKE_XCODE_VERSION): error("Could not resolve Xcode version.") +- unset(xcode_version) +- } +-} +- +-isEmpty(QMAKE_TARGET_BUNDLE_PREFIX) { +- QMAKE_XCODE_PREFERENCES_FILE = $$(HOME)/Library/Preferences/com.apple.dt.Xcode.plist +- exists($$QMAKE_XCODE_PREFERENCES_FILE): \ +- QMAKE_TARGET_BUNDLE_PREFIX = $$system("/usr/libexec/PlistBuddy -c 'print IDETemplateOptions:bundleIdentifierPrefix' $$QMAKE_XCODE_PREFERENCES_FILE 2>/dev/null") +- +- !isEmpty(_QMAKE_CACHE_):!isEmpty(QMAKE_TARGET_BUNDLE_PREFIX): \ +- cache(QMAKE_TARGET_BUNDLE_PREFIX) +-} +- +-QMAKE_ASSET_CATALOGS_APP_ICON = AppIcon +- +-# Make the default debug info format for static debug builds +-# DWARF instead of DWARF with dSYM. This cuts down build times +-# for application debug builds significantly, as Xcode doesn't +-# have to pull out all the DWARF info from the Qt static libs +-# and put it into a dSYM file. We don't need that dSYM file in +-# the first place, since the information is available in the +-# object files inside the archives (static libraries). +-macx-xcode:qtConfig(static): \ +- QMAKE_XCODE_DEBUG_INFORMATION_FORMAT = dwarf +- +-# This variable is used by the xcode_dynamic_library_suffix +-# feature, which allows Xcode to choose the Qt libraries to link to +-# at build time, depending on the current Xcode SDK and configuration. +-QMAKE_XCODE_LIBRARY_SUFFIX_SETTING = QT_LIBRARY_SUFFIX +- +-xcode_copy_phase_strip_setting.name = COPY_PHASE_STRIP +-xcode_copy_phase_strip_setting.value = NO +-QMAKE_MAC_XCODE_SETTINGS += xcode_copy_phase_strip_setting +diff --git a/mkspecs/features/mac/sdk.mk b/mkspecs/features/mac/sdk.mk +index c40f58c9..e69de29b 100644 +--- a/mkspecs/features/mac/sdk.mk ++++ b/mkspecs/features/mac/sdk.mk +@@ -1,25 +0,0 @@ +- +-ifeq ($(QT_MAC_SDK_NO_VERSION_CHECK),) +- CHECK_SDK_COMMAND = /usr/bin/xcrun --sdk $(EXPORT_QMAKE_MAC_SDK) -show-sdk-version 2>&1 +- CURRENT_MAC_SDK_VERSION := $(shell DEVELOPER_DIR=$(EXPORT_QMAKE_XCODE_DEVELOPER_PATH) $(CHECK_SDK_COMMAND)) +- ifneq ($(CURRENT_MAC_SDK_VERSION),$(EXPORT_QMAKE_MAC_SDK_VERSION)) +- # We don't want to complain about out of date SDK unless the target needs to be remade. +- # This covers use-cases such as running 'make check' after moving the build to a +- # computer without Xcode or with a different Xcode version. +- TARGET_UP_TO_DATE := $(shell QT_MAC_SDK_NO_VERSION_CHECK=1 $(MAKE) --question $(QMAKE_TARGET) && echo 1 || echo 0) +- ifeq ($(TARGET_UP_TO_DATE),0) +- ifneq ($(findstring missing DEVELOPER_DIR path,$(CURRENT_MAC_SDK_VERSION)),) +- $(info The developer dir $(EXPORT_QMAKE_XCODE_DEVELOPER_PATH) is no longer valid.) +- else ifneq ($(findstring SDK "$(EXPORT_QMAKE_MAC_SDK)" cannot be located,$(CURRENT_MAC_SDK_VERSION)),) +- $(info The developer dir $(EXPORT_QMAKE_XCODE_DEVELOPER_PATH) no longer contains the $(EXPORT_QMAKE_MAC_SDK_VERSION) platform SDK.) +- else ifneq ($(CURRENT_MAC_SDK_VERSION),) +- $(info The platform SDK has been changed from version $(EXPORT_QMAKE_MAC_SDK_VERSION) to version $(CURRENT_MAC_SDK_VERSION).) +- else +- $(info Unknown error resolving current platform SDK version.) +- endif +- $(info This requires a fresh build. Please wipe the build directory completely,) +- $(info including any .qmake.stash and .qmake.cache files generated by qmake.) +- $(error ^) +- endif +- endif +-endif +diff --git a/mkspecs/features/mac/sdk.prf b/mkspecs/features/mac/sdk.prf +index 3a9c2778..e69de29b 100644 +--- a/mkspecs/features/mac/sdk.prf ++++ b/mkspecs/features/mac/sdk.prf +@@ -1,61 +0,0 @@ +- +-isEmpty(QMAKE_MAC_SDK): \ +- error("QMAKE_MAC_SDK must be set when using CONFIG += sdk.") +- +-contains(QMAKE_MAC_SDK, .*/.*): \ +- error("QMAKE_MAC_SDK can only contain short-form SDK names (eg. macosx, iphoneos)") +- +-defineReplace(xcodeSDKInfo) { +- info = $$1 +- equals(info, "Path"): \ +- infoarg = --show-sdk-path +- equals(info, "PlatformPath"): \ +- infoarg = --show-sdk-platform-path +- equals(info, "SDKVersion"): \ +- infoarg = --show-sdk-version +- sdk = $$2 +- isEmpty(sdk): \ +- sdk = $$QMAKE_MAC_SDK +- +- isEmpty(QMAKE_MAC_SDK.$${sdk}.$${info}) { +- QMAKE_MAC_SDK.$${sdk}.$${info} = $$system("/usr/bin/xcrun --sdk $$sdk $$infoarg 2>/dev/null") +- # --show-sdk-platform-path won't work for Command Line Tools; this is fine +- # only used by the XCTest backend to testlib +- isEmpty(QMAKE_MAC_SDK.$${sdk}.$${info}):if(!isEmpty(QMAKE_XCODEBUILD_PATH)|!equals(infoarg, "--show-sdk-platform-path")): \ +- error("Could not resolve SDK $$info for \'$$sdk\' using $$infoarg") +- cache(QMAKE_MAC_SDK.$${sdk}.$${info}, set stash, QMAKE_MAC_SDK.$${sdk}.$${info}) +- } +- +- return($$eval(QMAKE_MAC_SDK.$${sdk}.$${info})) +-} +- +-QMAKE_MAC_SDK_PATH = $$xcodeSDKInfo(Path) +-QMAKE_MAC_SDK_PLATFORM_PATH = $$xcodeSDKInfo(PlatformPath) +-QMAKE_MAC_SDK_VERSION = $$xcodeSDKInfo(SDKVersion) +- +-isEmpty(QMAKE_EXPORT_INCDIR_OPENGL) { +- QMAKE_EXPORT_INCDIR_OPENGL = $$QMAKE_INCDIR_OPENGL +- sysrootified = +- for(val, QMAKE_INCDIR_OPENGL): sysrootified += $${QMAKE_MAC_SDK_PATH}$$val +- QMAKE_INCDIR_OPENGL = $$sysrootified +-} +- +-QMAKESPEC_NAME = $$basename(QMAKESPEC) +- +-# Resolve SDK version of various tools +-for(tool, $$list(QMAKE_CC QMAKE_CXX QMAKE_FIX_RPATH QMAKE_AR QMAKE_RANLIB QMAKE_LINK QMAKE_LINK_SHLIB QMAKE_ACTOOL QMAKE_LINK_C QMAKE_LINK_C_SHLIB)) { +- tool_variable = QMAKE_MAC_SDK.$${QMAKESPEC_NAME}.$${QMAKE_MAC_SDK}.$${tool} +- !isEmpty($$tool_variable) { +- $$tool = $$eval($$tool_variable) +- next() +- } +- +- value = $$eval($$tool) +- isEmpty(value): next() +- +- sysrooted = $$system("/usr/bin/xcrun -sdk $$QMAKE_MAC_SDK -find $$first(value) 2>/dev/null") +- isEmpty(sysrooted): next() +- +- $$tool = $$sysrooted $$member(value, 1, -1) +- cache($$tool_variable, set stash, $$tool) +-} +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0002-qtbase-mac.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0002-qtbase-mac.patch new file mode 100644 index 00000000000..301ac67d8d0 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0002-qtbase-mac.patch @@ -0,0 +1,116 @@ +From 203c9338dc92c2c36007cfe6633387348976637e Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:37:15 -0500 +Subject: [PATCH 02/11] qtbase-mac + +--- + src/corelib/kernel/qcore_mac_p.h | 16 ++++++++++++++-- + src/testlib/qappletestlogger.cpp | 2 +- + src/testlib/qappletestlogger_p.h | 2 +- + src/testlib/qtestcase.cpp | 2 +- + src/testlib/qtestlog.cpp | 2 +- + src/testlib/qtestlog_p.h | 2 +- + 6 files changed, 19 insertions(+), 7 deletions(-) + +diff --git a/src/corelib/kernel/qcore_mac_p.h b/src/corelib/kernel/qcore_mac_p.h +index f96e7358..650946b7 100644 +--- a/src/corelib/kernel/qcore_mac_p.h ++++ b/src/corelib/kernel/qcore_mac_p.h +@@ -212,7 +212,7 @@ private: + + // -------------------------------------------------------------------------- + +-#if !defined(QT_BOOTSTRAPPED) ++#if 0 + + QT_END_NAMESPACE + #include <os/activity.h> +@@ -290,7 +290,19 @@ QT_MAC_WEAK_IMPORT(_os_activity_current); + + #define QT_APPLE_SCOPED_LOG_ACTIVITY(...) QAppleLogActivity scopedLogActivity = QT_APPLE_LOG_ACTIVITY(__VA_ARGS__).enter(); + +-#endif // !defined(QT_BOOTSTRAPPED) ++#else // !defined(QT_BOOTSTRAPPED) ++ ++#define QT_APPLE_LOG_ACTIVITY_WITH_PARENT3(...) ++#define QT_APPLE_LOG_ACTIVITY_WITH_PARENT2(...) ++#define QT_APPLE_LOG_ACTIVITY_WITH_PARENT(...) ++ ++#define QT_APPLE_LOG_ACTIVITY2(...) ++#define QT_APPLE_LOG_ACTIVITY1(...) ++#define QT_APPLE_LOG_ACTIVITY(...) ++ ++#define QT_APPLE_SCOPED_LOG_ACTIVITY(...) ++ ++#endif + + // ------------------------------------------------------------------------- + +diff --git a/src/testlib/qappletestlogger.cpp b/src/testlib/qappletestlogger.cpp +index dfeadebd..2a74330c 100644 +--- a/src/testlib/qappletestlogger.cpp ++++ b/src/testlib/qappletestlogger.cpp +@@ -43,7 +43,7 @@ + + QT_BEGIN_NAMESPACE + +-#if defined(QT_USE_APPLE_UNIFIED_LOGGING) ++#if defined(QT_USE_APPLE_UNIFIED_LOGGING) && 0 + + using namespace QTestPrivate; + +diff --git a/src/testlib/qappletestlogger_p.h b/src/testlib/qappletestlogger_p.h +index 62c6d95c..f8e0a3b7 100644 +--- a/src/testlib/qappletestlogger_p.h ++++ b/src/testlib/qappletestlogger_p.h +@@ -57,7 +57,7 @@ + + QT_BEGIN_NAMESPACE + +-#if defined(QT_USE_APPLE_UNIFIED_LOGGING) ++#if defined(QT_USE_APPLE_UNIFIED_LOGGING) && 0 + class QAppleTestLogger : public QAbstractTestLogger + { + public: +diff --git a/src/testlib/qtestcase.cpp b/src/testlib/qtestcase.cpp +index 0c935a1f..22f2d75d 100644 +--- a/src/testlib/qtestcase.cpp ++++ b/src/testlib/qtestcase.cpp +@@ -850,7 +850,7 @@ Q_TESTLIB_EXPORT void qtest_qParseArgs(int argc, char *argv[], bool qml) + + bool addFallbackLogger = !explicitLoggerRequested; + +-#if defined(QT_USE_APPLE_UNIFIED_LOGGING) ++#if defined(QT_USE_APPLE_UNIFIED_LOGGING) && 0 + // Any explicitly requested loggers will be added by now, so we can check if they use stdout + const bool safeToAddAppleLogger = !AppleUnifiedLogger::willMirrorToStderr() || !QTestLog::loggerUsingStdout(); + if (safeToAddAppleLogger && QAppleTestLogger::debugLoggingEnabled()) { +diff --git a/src/testlib/qtestlog.cpp b/src/testlib/qtestlog.cpp +index 57bb7d95..33fb8162 100644 +--- a/src/testlib/qtestlog.cpp ++++ b/src/testlib/qtestlog.cpp +@@ -460,7 +460,7 @@ void QTestLog::addLogger(LogMode mode, const char *filename) + case QTestLog::TAP: + logger = new QTapTestLogger(filename); + break; +-#if defined(QT_USE_APPLE_UNIFIED_LOGGING) ++#if defined(QT_USE_APPLE_UNIFIED_LOGGING) && 0 + case QTestLog::Apple: + logger = new QAppleTestLogger; + break; +diff --git a/src/testlib/qtestlog_p.h b/src/testlib/qtestlog_p.h +index e63e89a7..213b6945 100644 +--- a/src/testlib/qtestlog_p.h ++++ b/src/testlib/qtestlog_p.h +@@ -68,7 +68,7 @@ class Q_TESTLIB_EXPORT QTestLog + public: + enum LogMode { + Plain = 0, XML, LightXML, XunitXML, CSV, TeamCity, TAP +-#if defined(QT_USE_APPLE_UNIFIED_LOGGING) ++#if defined(QT_USE_APPLE_UNIFIED_LOGGING) && 0 + , Apple + #endif + #if defined(HAVE_XCTEST) +-- +2.23.0 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0003-qtbase-mkspecs.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0003-qtbase-mkspecs.patch new file mode 100644 index 00000000000..3fabe071836 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0003-qtbase-mkspecs.patch @@ -0,0 +1,465 @@ +From 5ff996d9028c0f54939ca7c54d358cd7503ab1ae Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Wed, 18 Sep 2019 05:39:39 -0500 +Subject: [PATCH 03/11] qtbase-mkspecs + +--- + mkspecs/features/create_cmake.prf | 53 ++++-------- + .../data/cmake/Qt5BasicConfig.cmake.in | 80 +------------------ + mkspecs/features/qml_module.prf | 2 +- + mkspecs/features/qml_plugin.prf | 2 +- + mkspecs/features/qt_app.prf | 2 +- + mkspecs/features/qt_build_paths.prf | 4 +- + mkspecs/features/qt_docs.prf | 10 +-- + mkspecs/features/qt_example_installs.prf | 2 +- + mkspecs/features/qt_functions.prf | 2 +- + mkspecs/features/qt_installs.prf | 22 ++--- + mkspecs/features/qt_plugin.prf | 2 +- + 11 files changed, 39 insertions(+), 142 deletions(-) + +diff --git a/mkspecs/features/create_cmake.prf b/mkspecs/features/create_cmake.prf +index c9910dda..e9bc8076 100644 +--- a/mkspecs/features/create_cmake.prf ++++ b/mkspecs/features/create_cmake.prf +@@ -21,7 +21,7 @@ load(cmake_functions) + # at cmake time whether package has been found via a symlink, and correct + # that to an absolute path. This is only done for installations to + # the /usr or / prefix. +-CMAKE_INSTALL_LIBS_DIR = $$cmakeTargetPath($$[QT_INSTALL_LIBS]) ++CMAKE_INSTALL_LIBS_DIR = $$cmakeTargetPath($$NIX_OUTPUT_OUT/lib/) + contains(CMAKE_INSTALL_LIBS_DIR, ^(/usr)?/lib(64)?.*): CMAKE_USR_MOVE_WORKAROUND = $$CMAKE_INSTALL_LIBS_DIR + + CMAKE_OUT_DIR = $$MODULE_BASE_OUTDIR/lib/cmake +@@ -60,45 +60,20 @@ split_incpath { + $$cmake_extra_source_includes.output + } + +-CMAKE_INCLUDE_DIR = $$cmakeRelativePath($$[QT_INSTALL_HEADERS], $$[QT_INSTALL_PREFIX]) +-contains(CMAKE_INCLUDE_DIR, "^\\.\\./.*") { +- CMAKE_INCLUDE_DIR = $$[QT_INSTALL_HEADERS]/ +- CMAKE_INCLUDE_DIR_IS_ABSOLUTE = True +-} ++CMAKE_INCLUDE_DIR = $$NIX_OUTPUT_DEV/include/ ++CMAKE_INCLUDE_DIR_IS_ABSOLUTE = True + +-CMAKE_LIB_DIR = $$cmakeRelativePath($$[QT_INSTALL_LIBS], $$[QT_INSTALL_PREFIX]) +-contains(CMAKE_LIB_DIR,"^\\.\\./.*") { +- CMAKE_LIB_DIR = $$[QT_INSTALL_LIBS]/ +- CMAKE_LIB_DIR_IS_ABSOLUTE = True +-} else { +- CMAKE_RELATIVE_INSTALL_LIBS_DIR = $$cmakeRelativePath($$[QT_INSTALL_PREFIX], $$[QT_INSTALL_LIBS]) +- # We need to go up another two levels because the CMake files are +- # installed in $${CMAKE_LIB_DIR}/cmake/Qt5$${CMAKE_MODULE_NAME} +- CMAKE_RELATIVE_INSTALL_DIR = "$${CMAKE_RELATIVE_INSTALL_LIBS_DIR}../../" +-} ++CMAKE_BIN_DIR = $$NIX_OUTPUT_BIN/bin/ ++CMAKE_BIN_DIR_IS_ABSOLUTE = True + +-CMAKE_BIN_DIR = $$cmakeRelativePath($$[QT_HOST_BINS], $$[QT_INSTALL_PREFIX]) +-contains(CMAKE_BIN_DIR, "^\\.\\./.*") { +- CMAKE_BIN_DIR = $$[QT_HOST_BINS]/ +- CMAKE_BIN_DIR_IS_ABSOLUTE = True +-} ++CMAKE_LIB_DIR = $$NIX_OUTPUT_OUT/lib/ ++CMAKE_LIB_DIR_IS_ABSOLUTE = True + +-CMAKE_PLUGIN_DIR = $$cmakeRelativePath($$[QT_INSTALL_PLUGINS], $$[QT_INSTALL_PREFIX]) +-contains(CMAKE_PLUGIN_DIR, "^\\.\\./.*") { +- CMAKE_PLUGIN_DIR = $$[QT_INSTALL_PLUGINS]/ +- CMAKE_PLUGIN_DIR_IS_ABSOLUTE = True +-} +- +-win32:!static:!staticlib { +- CMAKE_DLL_DIR = $$cmakeRelativePath($$[QT_INSTALL_BINS], $$[QT_INSTALL_PREFIX]) +- contains(CMAKE_DLL_DIR, "^\\.\\./.*") { +- CMAKE_DLL_DIR = $$[QT_INSTALL_BINS]/ +- CMAKE_DLL_DIR_IS_ABSOLUTE = True +- } +-} else { +- CMAKE_DLL_DIR = $$CMAKE_LIB_DIR +- CMAKE_DLL_DIR_IS_ABSOLUTE = $$CMAKE_LIB_DIR_IS_ABSOLUTE +-} ++CMAKE_PLUGIN_DIR = $$NIX_OUTPUT_PLUGIN/ ++CMAKE_PLUGIN_DIR_IS_ABSOLUTE = True ++ ++CMAKE_DLL_DIR = $$NIX_OUTPUT_OUT/lib/ ++CMAKE_DLL_DIR_IS_ABSOLUTE = True + + static|staticlib:CMAKE_STATIC_TYPE = true + +@@ -178,7 +153,7 @@ contains(CONFIG, plugin) { + cmake_target_file + + cmake_qt5_plugin_file.files = $$cmake_target_file.output +- cmake_qt5_plugin_file.path = $$[QT_INSTALL_LIBS]/cmake/Qt5$${CMAKE_MODULE_NAME} ++ cmake_qt5_plugin_file.path = $$NIX_OUTPUT_OUT/lib/cmake/Qt5$${CMAKE_MODULE_NAME} + INSTALLS += cmake_qt5_plugin_file + + return() +@@ -323,7 +298,7 @@ exists($$cmake_macros_file.input) { + cmake_qt5_module_files.files += $$cmake_macros_file.output + } + +-cmake_qt5_module_files.path = $$[QT_INSTALL_LIBS]/cmake/Qt5$${CMAKE_MODULE_NAME} ++cmake_qt5_module_files.path = $$NIX_OUTPUT_OUT/lib/cmake/Qt5$${CMAKE_MODULE_NAME} + + # We are generating cmake files. Most developers of Qt are not aware of cmake, + # so we require automatic tests to be available. The only module which should +diff --git a/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in b/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in +index c7298928..c60ef16e 100644 +--- a/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in ++++ b/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in +@@ -3,30 +3,6 @@ if (CMAKE_VERSION VERSION_LESS 3.1.0) + message(FATAL_ERROR \"Qt 5 $${CMAKE_MODULE_NAME} module requires at least CMake version 3.1.0\") + endif() + +-!!IF !isEmpty(CMAKE_USR_MOVE_WORKAROUND) +-!!IF !isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +-set(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"$$[QT_INSTALL_PREFIX]\") +-!!ELSE +-get_filename_component(_IMPORT_PREFIX \"${CMAKE_CURRENT_LIST_FILE}\" PATH) +-# Use original install prefix when loaded through a +-# cross-prefix symbolic link such as /lib -> /usr/lib. +-get_filename_component(_realCurr \"${_IMPORT_PREFIX}\" REALPATH) +-get_filename_component(_realOrig \"$$CMAKE_INSTALL_LIBS_DIR/cmake/Qt5$${CMAKE_MODULE_NAME}\" REALPATH) +-if(_realCurr STREQUAL _realOrig) +- get_filename_component(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"$$CMAKE_INSTALL_LIBS_DIR/$${CMAKE_RELATIVE_INSTALL_LIBS_DIR}\" ABSOLUTE) +-else() +- get_filename_component(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"${CMAKE_CURRENT_LIST_DIR}/$${CMAKE_RELATIVE_INSTALL_DIR}\" ABSOLUTE) +-endif() +-unset(_realOrig) +-unset(_realCurr) +-unset(_IMPORT_PREFIX) +-!!ENDIF +-!!ELIF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +-get_filename_component(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"${CMAKE_CURRENT_LIST_DIR}/$${CMAKE_RELATIVE_INSTALL_DIR}\" ABSOLUTE) +-!!ELSE +-set(_qt5$${CMAKE_MODULE_NAME}_install_prefix \"$$[QT_INSTALL_PREFIX]\") +-!!ENDIF +- + !!IF !equals(TEMPLATE, aux) + # For backwards compatibility only. Use Qt5$${CMAKE_MODULE_NAME}_VERSION instead. + set(Qt5$${CMAKE_MODULE_NAME}_VERSION_STRING "$$eval(QT.$${MODULE}.VERSION)") +@@ -52,11 +28,7 @@ endmacro() + macro(_populate_$${CMAKE_MODULE_NAME}_target_properties Configuration LIB_LOCATION IMPLIB_LOCATION) + set_property(TARGET Qt5::$${CMAKE_MODULE_NAME} APPEND PROPERTY IMPORTED_CONFIGURATIONS ${Configuration}) + +-!!IF isEmpty(CMAKE_DLL_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_DLL_DIR}${LIB_LOCATION}\") +-!!ELSE + set(imported_location \"$${CMAKE_DLL_DIR}${LIB_LOCATION}\") +-!!ENDIF + _qt5_$${CMAKE_MODULE_NAME}_check_file_exists(${imported_location}) + set_target_properties(Qt5::$${CMAKE_MODULE_NAME} PROPERTIES + \"INTERFACE_LINK_LIBRARIES\" \"${_Qt5$${CMAKE_MODULE_NAME}_LIB_DEPENDENCIES}\" +@@ -69,11 +41,7 @@ macro(_populate_$${CMAKE_MODULE_NAME}_target_properties Configuration LIB_LOCATI + ) + + !!IF !isEmpty(CMAKE_WINDOWS_BUILD) +-!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +- set(imported_implib \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") +-!!ELSE + set(imported_implib \"IMPORTED_IMPLIB_${Configuration}\" \"$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") +-!!ENDIF + _qt5_$${CMAKE_MODULE_NAME}_check_file_exists(${imported_implib}) + if(NOT \"${IMPLIB_LOCATION}\" STREQUAL \"\") + set_target_properties(Qt5::$${CMAKE_MODULE_NAME} PROPERTIES +@@ -89,24 +57,13 @@ if (NOT TARGET Qt5::$${CMAKE_MODULE_NAME}) + !!IF !no_module_headers + !!IF !isEmpty(CMAKE_BUILD_IS_FRAMEWORK) + set(_Qt5$${CMAKE_MODULE_NAME}_OWN_INCLUDE_DIRS +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_QT_STEM}.framework\" +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_QT_STEM}.framework/Headers\" ++ \"$${CMAKE_LIB_DIR}$${CMAKE_QT_STEM}.framework\" ++ \"$${CMAKE_LIB_DIR}$${CMAKE_QT_STEM}.framework/Headers\" + ) + !!IF isEmpty(CMAKE_NO_PRIVATE_INCLUDES) + set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_QT_STEM}.framework/Versions/$$section(VERSION, ., 0, 0)/Headers/$$VERSION/\" +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_QT_STEM}.framework/Versions/$$section(VERSION, ., 0, 0)/Headers/$$VERSION/$${MODULE_INCNAME}\" +- ) +-!!ELSE +- set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS \"\") +-!!ENDIF +-!!ELSE +-!!IF isEmpty(CMAKE_INCLUDE_DIR_IS_ABSOLUTE) +- set(_Qt5$${CMAKE_MODULE_NAME}_OWN_INCLUDE_DIRS \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$$CMAKE_INCLUDE_DIR\" \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_INCLUDE_DIR}$${MODULE_INCNAME}\") +-!!IF isEmpty(CMAKE_NO_PRIVATE_INCLUDES) +- set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_INCLUDE_DIR}$${MODULE_INCNAME}/$$VERSION\" +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_INCLUDE_DIR}$${MODULE_INCNAME}/$$VERSION/$${MODULE_INCNAME}\" ++ \"$${CMAKE_LIB_DIR}$${CMAKE_QT_STEM}.framework/Versions/$$section(VERSION, ., 0, 0)/Headers/$$VERSION/\" ++ \"$${CMAKE_LIB_DIR}$${CMAKE_QT_STEM}.framework/Versions/$$section(VERSION, ., 0, 0)/Headers/$$VERSION/$${MODULE_INCNAME}\" + ) + !!ELSE + set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS \"\") +@@ -122,7 +79,6 @@ if (NOT TARGET Qt5::$${CMAKE_MODULE_NAME}) + set(Qt5$${CMAKE_MODULE_NAME}_PRIVATE_INCLUDE_DIRS \"\") + !!ENDIF + !!ENDIF +-!!ENDIF + !!IF !isEmpty(CMAKE_ADD_SOURCE_INCLUDE_DIRS) + include(\"${CMAKE_CURRENT_LIST_DIR}/ExtraSourceIncludes.cmake\" OPTIONAL) + !!ENDIF +@@ -272,25 +228,13 @@ if (NOT TARGET Qt5::$${CMAKE_MODULE_NAME}) + !!IF !isEmpty(CMAKE_FIND_OTHER_LIBRARY_BUILD) + !!IF isEmpty(CMAKE_DEBUG_TYPE) + !!IF !isEmpty(CMAKE_STATIC_WINDOWS_BUILD) +-!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +- if (EXISTS \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) +-!!ELSE // CMAKE_LIB_DIR_IS_ABSOLUTE + if (EXISTS \"$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) +-!!ENDIF // CMAKE_LIB_DIR_IS_ABSOLUTE + _populate_$${CMAKE_MODULE_NAME}_target_properties(DEBUG \"$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" \"\" ) + !!ELSE // CMAKE_STATIC_WINDOWS_BUILD + if (EXISTS +-!!IF isEmpty(CMAKE_DLL_DIR_IS_ABSOLUTE) +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_DLL_DIR}$${CMAKE_LIB_FILE_LOCATION_DEBUG}\" +-!!ELSE + \"$${CMAKE_LIB_FILE_LOCATION_DEBUG}\" +-!!ENDIF + AND EXISTS +-!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) +-!!ELSE + \"$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) +-!!ENDIF + _populate_$${CMAKE_MODULE_NAME}_target_properties(DEBUG \"$${CMAKE_LIB_FILE_LOCATION_DEBUG}\" \"$${CMAKE_IMPLIB_FILE_LOCATION_DEBUG}\" ) + !!ENDIF // CMAKE_STATIC_WINDOWS_BUILD + endif() +@@ -309,25 +253,13 @@ if (NOT TARGET Qt5::$${CMAKE_MODULE_NAME}) + !!IF !isEmpty(CMAKE_FIND_OTHER_LIBRARY_BUILD) + !!IF isEmpty(CMAKE_RELEASE_TYPE) + !!IF !isEmpty(CMAKE_STATIC_WINDOWS_BUILD) +-!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +- if (EXISTS \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) +-!!ELSE // CMAKE_LIB_DIR_IS_ABSOLUTE + if (EXISTS \"$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) +-!!ENDIF // CMAKE_LIB_DIR_IS_ABSOLUTE + _populate_$${CMAKE_MODULE_NAME}_target_properties(RELEASE \"$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" \"\" ) + !!ELSE // CMAKE_STATIC_WINDOWS_BUILD + if (EXISTS +-!!IF isEmpty(CMAKE_DLL_DIR_IS_ABSOLUTE) +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_DLL_DIR}$${CMAKE_LIB_FILE_LOCATION_RELEASE}\" +-!!ELSE + \"$${CMAKE_LIB_FILE_LOCATION_RELEASE}\" +-!!ENDIF + AND EXISTS +-!!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +- \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) +-!!ELSE + \"$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) +-!!ENDIF + _populate_$${CMAKE_MODULE_NAME}_target_properties(RELEASE \"$${CMAKE_LIB_FILE_LOCATION_RELEASE}\" \"$${CMAKE_IMPLIB_FILE_LOCATION_RELEASE}\" ) + !!ENDIF // CMAKE_STATIC_WINDOWS_BUILD + endif() +@@ -346,11 +278,7 @@ if (NOT TARGET Qt5::$${CMAKE_MODULE_NAME}) + macro(_populate_$${CMAKE_MODULE_NAME}_plugin_properties Plugin Configuration PLUGIN_LOCATION) + set_property(TARGET Qt5::${Plugin} APPEND PROPERTY IMPORTED_CONFIGURATIONS ${Configuration}) + +-!!IF isEmpty(CMAKE_PLUGIN_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$${CMAKE_PLUGIN_DIR}${PLUGIN_LOCATION}\") +-!!ELSE + set(imported_location \"$${CMAKE_PLUGIN_DIR}${PLUGIN_LOCATION}\") +-!!ENDIF + _qt5_$${CMAKE_MODULE_NAME}_check_file_exists(${imported_location}) + set_target_properties(Qt5::${Plugin} PROPERTIES + \"IMPORTED_LOCATION_${Configuration}\" ${imported_location} +diff --git a/mkspecs/features/qml_module.prf b/mkspecs/features/qml_module.prf +index 57cfec78..5cbd7c52 100644 +--- a/mkspecs/features/qml_module.prf ++++ b/mkspecs/features/qml_module.prf +@@ -51,7 +51,7 @@ builtin_resources { + # Install rules + qmldir.base = $$qmldir_path + qmldir.files = $$qmldir_file +-qmldir.path = $$[QT_INSTALL_QML]/$$TARGETPATH ++qmldir.path = $$NIX_OUTPUT_QML/$$TARGETPATH + INSTALLS += qmldir + + qmlfiles.base = $$_PRO_FILE_PWD_ +diff --git a/mkspecs/features/qml_plugin.prf b/mkspecs/features/qml_plugin.prf +index ad8ecdf5..804634b2 100644 +--- a/mkspecs/features/qml_plugin.prf ++++ b/mkspecs/features/qml_plugin.prf +@@ -50,7 +50,7 @@ load(qt_build_paths) + + DESTDIR = $$MODULE_BASE_OUTDIR/qml/$$TARGETPATH + +-target.path = $$[QT_INSTALL_QML]/$$TARGETPATH ++target.path = $$NIX_OUTPUT_QML/$$TARGETPATH + INSTALLS += target + + # Some final setup +diff --git a/mkspecs/features/qt_app.prf b/mkspecs/features/qt_app.prf +index 8354f30e..62028fef 100644 +--- a/mkspecs/features/qt_app.prf ++++ b/mkspecs/features/qt_app.prf +@@ -30,7 +30,7 @@ host_build:force_bootstrap { + target.path = $$[QT_HOST_BINS] + } else { + !build_pass:qtConfig(debug_and_release): CONFIG += release +- target.path = $$[QT_INSTALL_BINS] ++ target.path = $$NIX_OUTPUT_BIN/bin + CONFIG += relative_qt_rpath # Qt's tools and apps should be relocatable + } + INSTALLS += target +diff --git a/mkspecs/features/qt_build_paths.prf b/mkspecs/features/qt_build_paths.prf +index 3bb3823a..655b7b7d 100644 +--- a/mkspecs/features/qt_build_paths.prf ++++ b/mkspecs/features/qt_build_paths.prf +@@ -24,6 +24,6 @@ exists($$MODULE_BASE_INDIR/.git): \ + !force_independent { + # If the module is not built independently, everything ends up in qtbase. + # This is the case in non-prefix builds, except for selected modules. +- MODULE_BASE_OUTDIR = $$[QT_HOST_PREFIX] +- MODULE_QMAKE_OUTDIR = $$[QT_HOST_PREFIX] ++ MODULE_BASE_OUTDIR = $$NIX_OUTPUT_OUT ++ MODULE_QMAKE_OUTDIR = $$NIX_OUTPUT_OUT + } +diff --git a/mkspecs/features/qt_docs.prf b/mkspecs/features/qt_docs.prf +index 3b74cd4d..6bfbbe6e 100644 +--- a/mkspecs/features/qt_docs.prf ++++ b/mkspecs/features/qt_docs.prf +@@ -45,7 +45,7 @@ QMAKE_DOCS_OUTPUTDIR = $$QMAKE_DOCS_BASE_OUTDIR/$$QMAKE_DOCS_TARGETDIR + + QDOC += -outputdir $$shell_quote($$QMAKE_DOCS_OUTPUTDIR) + !build_online_docs: \ +- QDOC += -installdir $$shell_quote($$[QT_INSTALL_DOCS]) ++ QDOC += -installdir $$shell_quote($$NIX_OUTPUT_DOC) + PREP_DOC_INDEXES = + DOC_INDEXES = + !isEmpty(QTREPOS) { +@@ -64,8 +64,8 @@ DOC_INDEXES = + DOC_INDEXES += -indexdir $$shell_quote($$qrep/doc) + } else { + prepare_docs: \ +- PREP_DOC_INDEXES += -indexdir $$shell_quote($$[QT_INSTALL_DOCS/get]) +- DOC_INDEXES += -indexdir $$shell_quote($$[QT_INSTALL_DOCS/get]) ++ PREP_DOC_INDEXES += -indexdir $$shell_quote($$NIX_OUTPUT_DOC) ++ DOC_INDEXES += -indexdir $$shell_quote($$NIX_OUTPUT_DOC) + } + + qtattributionsscanner.target = qtattributionsscanner +@@ -88,12 +88,12 @@ prepare_docs { + qch_docs.commands = $$QHELPGENERATOR $$shell_quote($$QMAKE_DOCS_OUTPUTDIR/$${QMAKE_DOCS_TARGET}.qhp) -o $$shell_quote($$QMAKE_DOCS_BASE_OUTDIR/$${QMAKE_DOCS_TARGET}.qch) + + inst_html_docs.files = $$QMAKE_DOCS_OUTPUTDIR +- inst_html_docs.path = $$[QT_INSTALL_DOCS] ++ inst_html_docs.path = $$NIX_OUTPUT_DOC + inst_html_docs.CONFIG += no_check_exist directory no_default_install no_build + INSTALLS += inst_html_docs + + inst_qch_docs.files = $$QMAKE_DOCS_BASE_OUTDIR/$${QMAKE_DOCS_TARGET}.qch +- inst_qch_docs.path = $$[QT_INSTALL_DOCS] ++ inst_qch_docs.path = $$NIX_OUTPUT_DOC + inst_qch_docs.CONFIG += no_check_exist no_default_install no_build + INSTALLS += inst_qch_docs + +diff --git a/mkspecs/features/qt_example_installs.prf b/mkspecs/features/qt_example_installs.prf +index 43b58817..e635b8f6 100644 +--- a/mkspecs/features/qt_example_installs.prf ++++ b/mkspecs/features/qt_example_installs.prf +@@ -88,7 +88,7 @@ sourcefiles += \ + $$SOURCES $$HEADERS $$FORMS $$RESOURCES $$TRANSLATIONS \ + $$DBUS_ADAPTORS $$DBUS_INTERFACES + addInstallFiles(sources.files, $$sourcefiles) +-sources.path = $$[QT_INSTALL_EXAMPLES]/$$probase ++sources.path = $$NIX_OUTPUT_DEV/share/examples/$$probase + INSTALLS += sources + + check_examples { +diff --git a/mkspecs/features/qt_functions.prf b/mkspecs/features/qt_functions.prf +index 1903e509..ae7b5859 100644 +--- a/mkspecs/features/qt_functions.prf ++++ b/mkspecs/features/qt_functions.prf +@@ -69,7 +69,7 @@ defineTest(qtHaveModule) { + defineTest(qtPrepareTool) { + cmd = $$eval(QT_TOOL.$${2}.binary) + isEmpty(cmd) { +- cmd = $$[QT_HOST_BINS]/$$2 ++ cmd = $$system("command -v $$2") + exists($${cmd}.pl) { + $${1}_EXE = $${cmd}.pl + cmd = perl -w $$system_path($${cmd}.pl) +diff --git a/mkspecs/features/qt_installs.prf b/mkspecs/features/qt_installs.prf +index 1ebca173..b784441d 100644 +--- a/mkspecs/features/qt_installs.prf ++++ b/mkspecs/features/qt_installs.prf +@@ -12,16 +12,10 @@ + #library + !qt_no_install_library { + win32 { +- host_build: \ +- dlltarget.path = $$[QT_HOST_BINS] +- else: \ +- dlltarget.path = $$[QT_INSTALL_BINS] ++ dlltarget.path = $$NIX_OUTPUT_BIN/bin + INSTALLS += dlltarget + } +- host_build: \ +- target.path = $$[QT_HOST_LIBS] +- else: \ +- target.path = $$[QT_INSTALL_LIBS] ++ target.path = $$NIX_OUTPUT_OUT/lib + !static: target.CONFIG = no_dll + INSTALLS += target + } +@@ -29,35 +23,35 @@ + #headers + qt_install_headers { + gen_headers.files = $$SYNCQT.GENERATED_HEADER_FILES +- gen_headers.path = $$[QT_INSTALL_HEADERS]/$$MODULE_INCNAME ++ gen_headers.path = $$NIX_OUTPUT_DEV/include/$$MODULE_INCNAME + INSTALLS += gen_headers + + targ_headers.files = $$SYNCQT.HEADER_FILES $$SYNCQT.INJECTED_HEADER_FILES +- targ_headers.path = $$[QT_INSTALL_HEADERS]/$$MODULE_INCNAME ++ targ_headers.path = $$NIX_OUTPUT_DEV/include/$$MODULE_INCNAME + INSTALLS += targ_headers + + private_headers.files = $$SYNCQT.PRIVATE_HEADER_FILES $$SYNCQT.INJECTED_PRIVATE_HEADER_FILES +- private_headers.path = $$[QT_INSTALL_HEADERS]/$$MODULE_INCNAME/$$VERSION/$$MODULE_INCNAME/private ++ private_headers.path = $$NIX_OUTPUT_DEV/include/$$MODULE_INCNAME/$$VERSION/$$MODULE_INCNAME/private + generated_privates: \ + private_headers.CONFIG += no_check_exist + INSTALLS += private_headers + + qpa_headers.files = $$SYNCQT.QPA_HEADER_FILES +- qpa_headers.path = $$[QT_INSTALL_HEADERS]/$$MODULE_INCNAME/$$VERSION/$$MODULE_INCNAME/qpa ++ qpa_headers.path = $$NIX_OUTPUT_DEV/include/$$MODULE_INCNAME/$$VERSION/$$MODULE_INCNAME/qpa + INSTALLS += qpa_headers + } + + #module + qt_install_module { + !isEmpty(MODULE_PRI) { +- pritarget.path = $$[QT_HOST_DATA]/mkspecs/modules ++ pritarget.path = $$NIX_OUTPUT_DEV/mkspecs/modules + pritarget.files = $$MODULE_PRI + INSTALLS += pritarget + } else: isEmpty(MODULE_PRIVATE_PRI) { + warning("Project $$basename(_PRO_FILE_) is a module, but has not defined MODULE_PRI, which is required for Qt to expose the module to other projects.") + } + !isEmpty(MODULE_PRIVATE_PRI) { +- privpritarget.path = $$[QT_HOST_DATA]/mkspecs/modules ++ privpritarget.path = $$NIX_OUTPUT_DEV/mkspecs/modules + privpritarget.files = $$MODULE_PRIVATE_PRI + INSTALLS += privpritarget + } +diff --git a/mkspecs/features/qt_plugin.prf b/mkspecs/features/qt_plugin.prf +index 40528a65..903f7952 100644 +--- a/mkspecs/features/qt_plugin.prf ++++ b/mkspecs/features/qt_plugin.prf +@@ -88,7 +88,7 @@ CONFIG(static, static|shared)|prefix_build { + } + } + +-target.path = $$[QT_INSTALL_PLUGINS]/$$PLUGIN_TYPE ++target.path = $$NIX_OUTPUT_PLUGIN/$$PLUGIN_TYPE + INSTALLS += target + + TARGET = $$qt5LibraryTarget($$TARGET) +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0004-qtbase-replace-libdir.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0004-qtbase-replace-libdir.patch new file mode 100644 index 00000000000..93c4748f6fd --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0004-qtbase-replace-libdir.patch @@ -0,0 +1,68 @@ +From d126db8f5c2c1f6d6738de1a53040c93fdf6ff73 Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Wed, 18 Sep 2019 05:39:50 -0500 +Subject: [PATCH 04/11] qtbase-replace-libdir + +--- + mkspecs/features/qt_common.prf | 20 ++------------------ + mkspecs/features/qt_module.prf | 5 +---- + 2 files changed, 3 insertions(+), 22 deletions(-) + +diff --git a/mkspecs/features/qt_common.prf b/mkspecs/features/qt_common.prf +index caecb68a..d3aa3ba5 100644 +--- a/mkspecs/features/qt_common.prf ++++ b/mkspecs/features/qt_common.prf +@@ -30,32 +30,16 @@ contains(TEMPLATE, .*lib) { + rplbase = $$dirname(_QMAKE_SUPER_CACHE_)/[^/][^/]* + else: \ + rplbase = $$MODULE_BASE_OUTDIR +- host_build { +- qqt_libdir = \$\$\$\$[QT_HOST_LIBS] +- qt_libdir = $$[QT_HOST_LIBS] +- } else { +- qqt_libdir = \$\$\$\$[QT_INSTALL_LIBS] +- qt_libdir = $$[QT_INSTALL_LIBS] +- } ++ qt_libdir = $$NIX_OUTPUT_OUT/lib + contains(QMAKE_DEFAULT_LIBDIRS, $$qt_libdir) { +- lib_replace0.match = $$rplbase/lib/ +- lib_replace0.replace = $$qqt_libdir/ +- lib_replace0.CONFIG = path +- QMAKE_PRL_INSTALL_REPLACE += lib_replace0 + lib_replace.match = "[^ ']*$$rplbase/lib" + lib_replace.replace = + } else { + lib_replace.match = $$rplbase/lib +- lib_replace.replace = $$qqt_libdir ++ lib_replace.replace = $$qt_libdir + } + lib_replace.CONFIG = path + QMAKE_PRL_INSTALL_REPLACE += lib_replace +- !equals(qt_libdir, $$rplbase/lib) { +- qtlibdir_replace.match = $$qt_libdir +- qtlibdir_replace.replace = $$qqt_libdir +- qtlibdir_replace.CONFIG = path +- QMAKE_PRL_INSTALL_REPLACE += qtlibdir_replace +- } + } + + # The remainder of this file must not apply to host tools/libraries, +diff --git a/mkspecs/features/qt_module.prf b/mkspecs/features/qt_module.prf +index 51b5bde6..82e2907c 100644 +--- a/mkspecs/features/qt_module.prf ++++ b/mkspecs/features/qt_module.prf +@@ -292,10 +292,7 @@ load(qt_targets) + } + !lib_bundle:unix { + CONFIG += create_libtool +- host_build: \ +- QMAKE_LIBTOOL_LIBDIR = $$[QT_HOST_LIBS] +- else: \ +- QMAKE_LIBTOOL_LIBDIR = "=$$[QT_INSTALL_LIBS/raw]" ++ QMAKE_LIBTOOL_LIBDIR = $$NIX_OUTPUT_OUT/lib + ltlib_replace.match = $$lib_replace.match + !isEmpty(lib_replace.replace): \ + ltlib_replace.replace = $$QMAKE_LIBTOOL_LIBDIR +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0005-qtbase-cmake.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0005-qtbase-cmake.patch new file mode 100644 index 00000000000..b93b8f8c832 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0005-qtbase-cmake.patch @@ -0,0 +1,194 @@ +From 0ea804da2eb1d0cfbbfc15fbc33a3d7dd5de36ed Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:34:28 -0500 +Subject: [PATCH 05/11] qtbase-cmake + +--- + mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in | 2 +- + mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in | 4 ++-- + src/corelib/Qt5CoreConfigExtras.cmake.in | 10 +++++----- + src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in | 2 +- + .../Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in | 2 +- + src/dbus/Qt5DBusConfigExtras.cmake.in | 12 ++---------- + src/gui/Qt5GuiConfigExtras.cmake.in | 6 +++--- + src/widgets/Qt5WidgetsConfigExtras.cmake.in | 2 +- + 8 files changed, 16 insertions(+), 24 deletions(-) + +diff --git a/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in b/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in +index c60ef16e..e354ab91 100644 +--- a/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in ++++ b/mkspecs/features/data/cmake/Qt5BasicConfig.cmake.in +@@ -278,7 +278,7 @@ if (NOT TARGET Qt5::$${CMAKE_MODULE_NAME}) + macro(_populate_$${CMAKE_MODULE_NAME}_plugin_properties Plugin Configuration PLUGIN_LOCATION) + set_property(TARGET Qt5::${Plugin} APPEND PROPERTY IMPORTED_CONFIGURATIONS ${Configuration}) + +- set(imported_location \"$${CMAKE_PLUGIN_DIR}${PLUGIN_LOCATION}\") ++ set(imported_location \"${PLUGIN_LOCATION}\") + _qt5_$${CMAKE_MODULE_NAME}_check_file_exists(${imported_location}) + set_target_properties(Qt5::${Plugin} PROPERTIES + \"IMPORTED_LOCATION_${Configuration}\" ${imported_location} +diff --git a/mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in b/mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in +index 5baf0fdb..3583745a 100644 +--- a/mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in ++++ b/mkspecs/features/data/cmake/Qt5PluginTarget.cmake.in +@@ -2,10 +2,10 @@ + add_library(Qt5::$$CMAKE_PLUGIN_NAME MODULE IMPORTED) + + !!IF !isEmpty(CMAKE_RELEASE_TYPE) +-_populate_$${CMAKE_MODULE_NAME}_plugin_properties($$CMAKE_PLUGIN_NAME RELEASE \"$${CMAKE_PLUGIN_LOCATION_RELEASE}\") ++_populate_$${CMAKE_MODULE_NAME}_plugin_properties($$CMAKE_PLUGIN_NAME RELEASE \"$${CMAKE_PLUGIN_DIR}$${CMAKE_PLUGIN_LOCATION_RELEASE}\") + !!ENDIF + !!IF !isEmpty(CMAKE_DEBUG_TYPE) +-_populate_$${CMAKE_MODULE_NAME}_plugin_properties($$CMAKE_PLUGIN_NAME DEBUG \"$${CMAKE_PLUGIN_LOCATION_DEBUG}\") ++_populate_$${CMAKE_MODULE_NAME}_plugin_properties($$CMAKE_PLUGIN_NAME DEBUG \"$${CMAKE_PLUGIN_DIR}$${CMAKE_PLUGIN_LOCATION_DEBUG}\") + !!ENDIF + + list(APPEND Qt5$${CMAKE_MODULE_NAME}_PLUGINS Qt5::$$CMAKE_PLUGIN_NAME) +diff --git a/src/corelib/Qt5CoreConfigExtras.cmake.in b/src/corelib/Qt5CoreConfigExtras.cmake.in +index e0652fdc..450b2a2d 100644 +--- a/src/corelib/Qt5CoreConfigExtras.cmake.in ++++ b/src/corelib/Qt5CoreConfigExtras.cmake.in +@@ -3,7 +3,7 @@ if (NOT TARGET Qt5::qmake) + add_executable(Qt5::qmake IMPORTED) + + !!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_BIN_DIR}qmake$$CMAKE_BIN_SUFFIX\") ++ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_BIN_DIR}qmake$$CMAKE_BIN_SUFFIX\") + !!ELSE + set(imported_location \"$${CMAKE_BIN_DIR}qmake$$CMAKE_BIN_SUFFIX\") + !!ENDIF +@@ -18,7 +18,7 @@ if (NOT TARGET Qt5::moc) + add_executable(Qt5::moc IMPORTED) + + !!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_BIN_DIR}moc$$CMAKE_BIN_SUFFIX\") ++ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_BIN_DIR}moc$$CMAKE_BIN_SUFFIX\") + !!ELSE + set(imported_location \"$${CMAKE_BIN_DIR}moc$$CMAKE_BIN_SUFFIX\") + !!ENDIF +@@ -35,7 +35,7 @@ if (NOT TARGET Qt5::rcc) + add_executable(Qt5::rcc IMPORTED) + + !!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_BIN_DIR}rcc$$CMAKE_BIN_SUFFIX\") ++ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_BIN_DIR}rcc$$CMAKE_BIN_SUFFIX\") + !!ELSE + set(imported_location \"$${CMAKE_BIN_DIR}rcc$$CMAKE_BIN_SUFFIX\") + !!ENDIF +@@ -116,7 +116,7 @@ if (NOT TARGET Qt5::WinMain) + !!IF !isEmpty(CMAKE_RELEASE_TYPE) + set_property(TARGET Qt5::WinMain APPEND PROPERTY IMPORTED_CONFIGURATIONS RELEASE) + !!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_RELEASE}\") ++ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_RELEASE}\") + !!ELSE + set(imported_location \"$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_RELEASE}\") + !!ENDIF +@@ -130,7 +130,7 @@ if (NOT TARGET Qt5::WinMain) + set_property(TARGET Qt5::WinMain APPEND PROPERTY IMPORTED_CONFIGURATIONS DEBUG) + + !!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5Core_install_prefix}/$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_DEBUG}\") ++ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_DEBUG}\") + !!ELSE + set(imported_location \"$${CMAKE_LIB_DIR}$${CMAKE_WINMAIN_FILE_LOCATION_DEBUG}\") + !!ENDIF +diff --git a/src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in b/src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in +index c357237d..6f0c75de 100644 +--- a/src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in ++++ b/src/corelib/Qt5CoreConfigExtrasMkspecDir.cmake.in +@@ -1,6 +1,6 @@ + + !!IF isEmpty(CMAKE_HOST_DATA_DIR_IS_ABSOLUTE) +-set(_qt5_corelib_extra_includes \"${_qt5Core_install_prefix}/$${CMAKE_HOST_DATA_DIR}/mkspecs/$${CMAKE_MKSPEC}\") ++set(_qt5_corelib_extra_includes \"$$NIX_OUTPUT_DEV/$${CMAKE_HOST_DATA_DIR}/mkspecs/$${CMAKE_MKSPEC}\") + !!ELSE + set(_qt5_corelib_extra_includes \"$${CMAKE_HOST_DATA_DIR}mkspecs/$${CMAKE_MKSPEC}\") + !!ENDIF +diff --git a/src/corelib/Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in b/src/corelib/Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in +index 706304cf..546420f6 100644 +--- a/src/corelib/Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in ++++ b/src/corelib/Qt5CoreConfigExtrasMkspecDirForInstall.cmake.in +@@ -1,6 +1,6 @@ + + !!IF isEmpty(CMAKE_INSTALL_DATA_DIR_IS_ABSOLUTE) +-set(_qt5_corelib_extra_includes \"${_qt5Core_install_prefix}/$${CMAKE_INSTALL_DATA_DIR}/mkspecs/$${CMAKE_MKSPEC}\") ++set(_qt5_corelib_extra_includes \"$$NIX_OUTPUT_DEV/$${CMAKE_INSTALL_DATA_DIR}/mkspecs/$${CMAKE_MKSPEC}\") + !!ELSE + set(_qt5_corelib_extra_includes \"$${CMAKE_INSTALL_DATA_DIR}mkspecs/$${CMAKE_MKSPEC}\") + !!ENDIF +diff --git a/src/dbus/Qt5DBusConfigExtras.cmake.in b/src/dbus/Qt5DBusConfigExtras.cmake.in +index 1d947159..b36865fc 100644 +--- a/src/dbus/Qt5DBusConfigExtras.cmake.in ++++ b/src/dbus/Qt5DBusConfigExtras.cmake.in +@@ -2,11 +2,7 @@ + if (NOT TARGET Qt5::qdbuscpp2xml) + add_executable(Qt5::qdbuscpp2xml IMPORTED) + +-!!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5DBus_install_prefix}/$${CMAKE_BIN_DIR}qdbuscpp2xml$$CMAKE_BIN_SUFFIX\") +-!!ELSE +- set(imported_location \"$${CMAKE_BIN_DIR}qdbuscpp2xml$$CMAKE_BIN_SUFFIX\") +-!!ENDIF ++ set(imported_location \"$$NIX_OUTPUT_DEV/bin/qdbuscpp2xml$$CMAKE_BIN_SUFFIX\") + _qt5_DBus_check_file_exists(${imported_location}) + + set_target_properties(Qt5::qdbuscpp2xml PROPERTIES +@@ -17,11 +13,7 @@ endif() + if (NOT TARGET Qt5::qdbusxml2cpp) + add_executable(Qt5::qdbusxml2cpp IMPORTED) + +-!!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5DBus_install_prefix}/$${CMAKE_BIN_DIR}qdbusxml2cpp$$CMAKE_BIN_SUFFIX\") +-!!ELSE +- set(imported_location \"$${CMAKE_BIN_DIR}qdbusxml2cpp$$CMAKE_BIN_SUFFIX\") +-!!ENDIF ++ set(imported_location \"$$NIX_OUTPUT_DEV/bin/qdbusxml2cpp$$CMAKE_BIN_SUFFIX\") + _qt5_DBus_check_file_exists(${imported_location}) + + set_target_properties(Qt5::qdbusxml2cpp PROPERTIES +diff --git a/src/gui/Qt5GuiConfigExtras.cmake.in b/src/gui/Qt5GuiConfigExtras.cmake.in +index 84dbbfeb..8ad0720c 100644 +--- a/src/gui/Qt5GuiConfigExtras.cmake.in ++++ b/src/gui/Qt5GuiConfigExtras.cmake.in +@@ -2,7 +2,7 @@ + !!IF !isEmpty(CMAKE_ANGLE_EGL_DLL_RELEASE) + + !!IF isEmpty(CMAKE_INCLUDE_DIR_IS_ABSOLUTE) +-set(Qt5Gui_EGL_INCLUDE_DIRS \"${_qt5$${CMAKE_MODULE_NAME}_install_prefix}/$$CMAKE_INCLUDE_DIR/QtANGLE\") ++set(Qt5Gui_EGL_INCLUDE_DIRS \"$$NIX_OUTPUT_DEV/$$CMAKE_INCLUDE_DIR/QtANGLE\") + !!ELSE + set(Qt5Gui_EGL_INCLUDE_DIRS \"$$CMAKE_INCLUDE_DIR/QtANGLE\") + !!ENDIF +@@ -17,13 +17,13 @@ macro(_populate_qt5gui_gl_target_properties TargetName Configuration LIB_LOCATIO + set_property(TARGET Qt5::${TargetName} APPEND PROPERTY IMPORTED_CONFIGURATIONS ${Configuration}) + + !!IF isEmpty(CMAKE_DLL_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5Gui_install_prefix}/$${CMAKE_DLL_DIR}${LIB_LOCATION}\") ++ set(imported_location \"$$NIX_OUTPUT_OUT/$${CMAKE_DLL_DIR}${LIB_LOCATION}\") + !!ELSE + set(imported_location \"$${CMAKE_DLL_DIR}${LIB_LOCATION}\") + !!ENDIF + + !!IF isEmpty(CMAKE_LIB_DIR_IS_ABSOLUTE) +- set(imported_implib \"${_qt5Gui_install_prefix}/$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") ++ set(imported_implib \"$$NIX_OUTPUT_OUT/$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") + !!ELSE + set(imported_implib \"$${CMAKE_LIB_DIR}${IMPLIB_LOCATION}\") + !!ENDIF +diff --git a/src/widgets/Qt5WidgetsConfigExtras.cmake.in b/src/widgets/Qt5WidgetsConfigExtras.cmake.in +index 99d87e2e..a4eab2aa 100644 +--- a/src/widgets/Qt5WidgetsConfigExtras.cmake.in ++++ b/src/widgets/Qt5WidgetsConfigExtras.cmake.in +@@ -3,7 +3,7 @@ if (NOT TARGET Qt5::uic) + add_executable(Qt5::uic IMPORTED) + + !!IF isEmpty(CMAKE_BIN_DIR_IS_ABSOLUTE) +- set(imported_location \"${_qt5Widgets_install_prefix}/$${CMAKE_BIN_DIR}uic$$CMAKE_BIN_SUFFIX\") ++ set(imported_location \"$$NIX_OUTPUT_DEV/$${CMAKE_BIN_DIR}uic$$CMAKE_BIN_SUFFIX\") + !!ELSE + set(imported_location \"$${CMAKE_BIN_DIR}uic$$CMAKE_BIN_SUFFIX\") + !!ENDIF +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0006-qtbase-gtk3.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0006-qtbase-gtk3.patch new file mode 100644 index 00000000000..80f4a4091e6 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0006-qtbase-gtk3.patch @@ -0,0 +1,48 @@ +From 8fa184fb70a62cbe9ee160bceddaf5d7c21cb85c Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:35:33 -0500 +Subject: [PATCH 06/11] qtbase-gtk3 + +--- + src/plugins/platformthemes/gtk3/main.cpp | 17 ++++++++++++++++- + 1 file changed, 16 insertions(+), 1 deletion(-) + +diff --git a/src/plugins/platformthemes/gtk3/main.cpp b/src/plugins/platformthemes/gtk3/main.cpp +index fb1c425d..bb8bab97 100644 +--- a/src/plugins/platformthemes/gtk3/main.cpp ++++ b/src/plugins/platformthemes/gtk3/main.cpp +@@ -39,6 +39,7 @@ + + #include <qpa/qplatformthemeplugin.h> + #include "qgtk3theme.h" ++#include <QFile> + + QT_BEGIN_NAMESPACE + +@@ -54,8 +55,22 @@ public: + QPlatformTheme *QGtk3ThemePlugin::create(const QString &key, const QStringList ¶ms) + { + Q_UNUSED(params); +- if (!key.compare(QLatin1String(QGtk3Theme::name), Qt::CaseInsensitive)) ++ if (!key.compare(QLatin1String(QGtk3Theme::name), Qt::CaseInsensitive)) { ++ ++#ifdef NIXPKGS_QGTK3_XDG_DATA_DIRS ++ QStringList XDG_DATA_DIRS = QFile::decodeName(qgetenv("XDG_DATA_DIRS")).split(':'); ++ XDG_DATA_DIRS << QLatin1String(NIXPKGS_QGTK3_XDG_DATA_DIRS); ++ qputenv("XDG_DATA_DIRS", QFile::encodeName(XDG_DATA_DIRS.join(':'))); ++#endif ++ ++#ifdef NIXPKGS_QGTK3_GIO_EXTRA_MODULES ++ QStringList GIO_EXTRA_MODULES = QFile::decodeName(qgetenv("GIO_EXTRA_MODULES")).split(':'); ++ GIO_EXTRA_MODULES << QLatin1String(NIXPKGS_QGTK3_GIO_EXTRA_MODULES); ++ qputenv("GIO_EXTRA_MODULES", QFile::encodeName(GIO_EXTRA_MODULES.join(':'))); ++#endif ++ + return new QGtk3Theme; ++ } + + return 0; + } +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0007-qtbase-xcursor.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0007-qtbase-xcursor.patch new file mode 100644 index 00000000000..33122773598 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0007-qtbase-xcursor.patch @@ -0,0 +1,29 @@ +From b4fe78eb31f30ef499970b2ca7e7947c025588af Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:35:58 -0500 +Subject: [PATCH 07/11] qtbase-xcursor + +--- + src/plugins/platforms/xcb/qxcbcursor.cpp | 4 ++-- + 1 file changed, 2 insertions(+), 2 deletions(-) + +diff --git a/src/plugins/platforms/xcb/qxcbcursor.cpp b/src/plugins/platforms/xcb/qxcbcursor.cpp +index fbadab4d..c83ce0af 100644 +--- a/src/plugins/platforms/xcb/qxcbcursor.cpp ++++ b/src/plugins/platforms/xcb/qxcbcursor.cpp +@@ -317,10 +317,10 @@ QXcbCursor::QXcbCursor(QXcbConnection *conn, QXcbScreen *screen) + #if QT_CONFIG(xcb_xlib) && QT_CONFIG(library) + static bool function_ptrs_not_initialized = true; + if (function_ptrs_not_initialized) { +- QLibrary xcursorLib(QLatin1String("Xcursor"), 1); ++ QLibrary xcursorLib(QLatin1String(NIXPKGS_LIBXCURSOR), 1); + bool xcursorFound = xcursorLib.load(); + if (!xcursorFound) { // try without the version number +- xcursorLib.setFileName(QLatin1String("Xcursor")); ++ xcursorLib.setFileName(QLatin1String(NIXPKGS_LIBXCURSOR)); + xcursorFound = xcursorLib.load(); + } + if (xcursorFound) { +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0008-qtbase-xcompose.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0008-qtbase-xcompose.patch new file mode 100644 index 00000000000..f54ba7f9002 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0008-qtbase-xcompose.patch @@ -0,0 +1,30 @@ +From 47b2bed58224bda2267480604707a580dc17dd1f Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:36:10 -0500 +Subject: [PATCH 08/11] qtbase-xcompose + +--- + .../compose/generator/qtablegenerator.cpp | 5 +---- + 1 file changed, 1 insertion(+), 4 deletions(-) + +diff --git a/src/plugins/platforminputcontexts/compose/generator/qtablegenerator.cpp b/src/plugins/platforminputcontexts/compose/generator/qtablegenerator.cpp +index b5a0a5bb..6c20305f 100644 +--- a/src/plugins/platforminputcontexts/compose/generator/qtablegenerator.cpp ++++ b/src/plugins/platforminputcontexts/compose/generator/qtablegenerator.cpp +@@ -265,12 +265,9 @@ void TableGenerator::initPossibleLocations() + m_possibleLocations.reserve(7); + if (qEnvironmentVariableIsSet("QTCOMPOSE")) + m_possibleLocations.append(QString::fromLocal8Bit(qgetenv("QTCOMPOSE"))); +- m_possibleLocations.append(QStringLiteral("/usr/share/X11/locale")); +- m_possibleLocations.append(QStringLiteral("/usr/local/share/X11/locale")); +- m_possibleLocations.append(QStringLiteral("/usr/lib/X11/locale")); +- m_possibleLocations.append(QStringLiteral("/usr/local/lib/X11/locale")); + m_possibleLocations.append(QStringLiteral(X11_PREFIX "/share/X11/locale")); + m_possibleLocations.append(QStringLiteral(X11_PREFIX "/lib/X11/locale")); ++ m_possibleLocations.append(QLatin1String(NIXPKGS_QTCOMPOSE)); + } + + QString TableGenerator::findComposeFile() +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0009-qtbase-tzdir.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0009-qtbase-tzdir.patch new file mode 100644 index 00000000000..e8a4533cc2d --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0009-qtbase-tzdir.patch @@ -0,0 +1,51 @@ +From 354713a61005b9a4743b9db0d76c72514c4579f8 Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:36:25 -0500 +Subject: [PATCH 09/11] qtbase-tzdir + +--- + src/corelib/tools/qtimezoneprivate_tz.cpp | 20 ++++++++++++++------ + 1 file changed, 14 insertions(+), 6 deletions(-) + +diff --git a/src/corelib/tools/qtimezoneprivate_tz.cpp b/src/corelib/tools/qtimezoneprivate_tz.cpp +index 7d85bc07..c13d99b8 100644 +--- a/src/corelib/tools/qtimezoneprivate_tz.cpp ++++ b/src/corelib/tools/qtimezoneprivate_tz.cpp +@@ -71,7 +71,11 @@ typedef QHash<QByteArray, QTzTimeZone> QTzTimeZoneHash; + // Parse zone.tab table, assume lists all installed zones, if not will need to read directories + static QTzTimeZoneHash loadTzTimeZones() + { +- QString path = QStringLiteral("/usr/share/zoneinfo/zone.tab"); ++ // Try TZDIR first, in case we're running on NixOS. ++ QString path = QFile::decodeName(qgetenv("TZDIR")) + QStringLiteral("/zone.tab"); ++ // Fallback to traditional paths in case we are not on NixOS. ++ if (!QFile::exists(path)) ++ path = QStringLiteral("/usr/share/zoneinfo/zone.tab"); + if (!QFile::exists(path)) + path = QStringLiteral("/usr/lib/zoneinfo/zone.tab"); + +@@ -650,12 +654,16 @@ void QTzTimeZonePrivate::init(const QByteArray &ianaId) + if (!tzif.open(QIODevice::ReadOnly)) + return; + } else { +- // Open named tz, try modern path first, if fails try legacy path +- tzif.setFileName(QLatin1String("/usr/share/zoneinfo/") + QString::fromLocal8Bit(ianaId)); ++ // Try TZDIR first, in case we're running on NixOS ++ tzif.setFileName(QFile::decodeName(qgetenv("TZDIR")) + QStringLiteral("/") + QString::fromLocal8Bit(ianaId)); + if (!tzif.open(QIODevice::ReadOnly)) { +- tzif.setFileName(QLatin1String("/usr/lib/zoneinfo/") + QString::fromLocal8Bit(ianaId)); +- if (!tzif.open(QIODevice::ReadOnly)) +- return; ++ // Open named tz, try modern path first, if fails try legacy path ++ tzif.setFileName(QLatin1String("/usr/share/zoneinfo/") + QString::fromLocal8Bit(ianaId)); ++ if (!tzif.open(QIODevice::ReadOnly)) { ++ tzif.setFileName(QLatin1String("/usr/lib/zoneinfo/") + QString::fromLocal8Bit(ianaId)); ++ if (!tzif.open(QIODevice::ReadOnly)) ++ return; ++ } + } + } + +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0010-qtbase-qtpluginpath.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0010-qtbase-qtpluginpath.patch new file mode 100644 index 00000000000..b53544e0d4e --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0010-qtbase-qtpluginpath.patch @@ -0,0 +1,32 @@ +From 571060c0e1dca29554cc97cfb33087c9b41114a5 Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:36:41 -0500 +Subject: [PATCH 10/11] qtbase-qtpluginpath + +--- + src/corelib/kernel/qcoreapplication.cpp | 9 +++++++++ + 1 file changed, 9 insertions(+) + +diff --git a/src/corelib/kernel/qcoreapplication.cpp b/src/corelib/kernel/qcoreapplication.cpp +index 8652c456..74562272 100644 +--- a/src/corelib/kernel/qcoreapplication.cpp ++++ b/src/corelib/kernel/qcoreapplication.cpp +@@ -2690,6 +2690,15 @@ QStringList QCoreApplication::libraryPaths() + QStringList *app_libpaths = new QStringList; + coreappdata()->app_libpaths.reset(app_libpaths); + ++ // Add library paths derived from PATH ++ const QStringList paths = QFile::decodeName(qgetenv("PATH")).split(':'); ++ const QString plugindir = QStringLiteral("../" NIXPKGS_QT_PLUGIN_PREFIX); ++ for (const QString &path: paths) { ++ if (!path.isEmpty()) { ++ app_libpaths->append(QDir::cleanPath(path + QDir::separator() + plugindir)); ++ } ++ } ++ + QString libPathEnv = qEnvironmentVariable("QT_PLUGIN_PATH"); + if (!libPathEnv.isEmpty()) { + QStringList paths = libPathEnv.split(QDir::listSeparator(), QString::SkipEmptyParts); +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0011-qtbase-assert.patch b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0011-qtbase-assert.patch new file mode 100644 index 00000000000..0fd93759c3a --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/qtbase.patch.d/0011-qtbase-assert.patch @@ -0,0 +1,32 @@ +From 545e696e270a3879dd59f71d145e31a7d93ab8f4 Mon Sep 17 00:00:00 2001 +From: Thomas Tuegel <ttuegel@mailbox.org> +Date: Tue, 17 Sep 2019 05:37:04 -0500 +Subject: [PATCH 11/11] qtbase-assert + +--- + src/testlib/qtestassert.h | 7 +++++-- + 1 file changed, 5 insertions(+), 2 deletions(-) + +diff --git a/src/testlib/qtestassert.h b/src/testlib/qtestassert.h +index 6498ea84..d821ced7 100644 +--- a/src/testlib/qtestassert.h ++++ b/src/testlib/qtestassert.h +@@ -44,10 +44,13 @@ + + QT_BEGIN_NAMESPACE + +- ++#if defined(QT_NO_DEBUG) && !defined(QT_FORCE_ASSERTS) ++#define QTEST_ASSERT(cond) do { } while ((false) && (cond)) ++#define QTEST_ASSERT_X(cond, where, what) do { } while ((false) && (cond)) ++#else + #define QTEST_ASSERT(cond) do { if (!(cond)) qt_assert(#cond,__FILE__,__LINE__); } while (false) +- + #define QTEST_ASSERT_X(cond, where, what) do { if (!(cond)) qt_assert_x(where, what,__FILE__,__LINE__); } while (false) ++#endif + + QT_END_NAMESPACE + +-- +2.22.1 + diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.12/srcs.nix b/nixpkgs/pkgs/development/libraries/qt-5/5.12/srcs.nix index ce567c3a2bc..46bc14a1346 100644 --- a/nixpkgs/pkgs/development/libraries/qt-5/5.12/srcs.nix +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.12/srcs.nix @@ -3,323 +3,323 @@ { qt3d = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qt3d-everywhere-src-5.12.3.tar.xz"; - sha256 = "8997f07c816bbc6dd43fc2171801178bc65e704d35039998530cfa49837eaa7d"; - name = "qt3d-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qt3d-everywhere-src-5.12.4.tar.xz"; + sha256 = "cfad2e16f40fa07f8be59fa29c0c246743ee67db417ca29772a92f36fa322af3"; + name = "qt3d-everywhere-src-5.12.4.tar.xz"; }; }; qtactiveqt = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtactiveqt-everywhere-src-5.12.3.tar.xz"; - sha256 = "15a5fde0a069f402bea9f422d8d2c46af440d202122c6307c2a6be642d20dc0f"; - name = "qtactiveqt-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtactiveqt-everywhere-src-5.12.4.tar.xz"; + sha256 = "d3c78e6c2a75b9d4f9685d4eea6e84f44f97034a54aed7a159c53cfd4ec4eac7"; + name = "qtactiveqt-everywhere-src-5.12.4.tar.xz"; }; }; qtandroidextras = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtandroidextras-everywhere-src-5.12.3.tar.xz"; - sha256 = "866b3fbcfc2cbebdb83b5adec4e5d0bd29b0e0b0762d66fb3fef0b400e37254f"; - name = "qtandroidextras-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtandroidextras-everywhere-src-5.12.4.tar.xz"; + sha256 = "18e0dbd82920b0ca51b29172fc0ed1f2a923cb7c4fa8fb574595abc16ec3245e"; + name = "qtandroidextras-everywhere-src-5.12.4.tar.xz"; }; }; qtbase = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtbase-everywhere-src-5.12.3.tar.xz"; - sha256 = "fddfd8852ef7503febeed67b876d1425160869ae2b1ae8e10b3fb0fedc5fe701"; - name = "qtbase-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtbase-everywhere-src-5.12.4.tar.xz"; + sha256 = "20fbc7efa54ff7db9552a7a2cdf9047b80253c1933c834f35b0bc5c1ae021195"; + name = "qtbase-everywhere-src-5.12.4.tar.xz"; }; }; qtcanvas3d = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtcanvas3d-everywhere-src-5.12.3.tar.xz"; - sha256 = "c0821f1232c6bcd00648af9a5d1eade8e0397c6bfff60621e0fcdfc75561baea"; - name = "qtcanvas3d-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtcanvas3d-everywhere-src-5.12.4.tar.xz"; + sha256 = "d7e0e8aa542d077a929fb7700411ca9de1f65ae4748d64168d2e7533facd7869"; + name = "qtcanvas3d-everywhere-src-5.12.4.tar.xz"; }; }; qtcharts = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtcharts-everywhere-src-5.12.3.tar.xz"; - sha256 = "820c94b2bf5d73e921fe99be1e3a03a6f012d96574a08e504d68db237522b3a9"; - name = "qtcharts-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtcharts-everywhere-src-5.12.4.tar.xz"; + sha256 = "06ff68a80dc377847429cdd87d4e46465e1d6fbc417d52700a0a59d197669c9e"; + name = "qtcharts-everywhere-src-5.12.4.tar.xz"; }; }; qtconnectivity = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtconnectivity-everywhere-src-5.12.3.tar.xz"; - sha256 = "01518cee71a8d53b9c2387f8c7facbcc2c4d63ab3b79462edfa06ba3bfeae661"; - name = "qtconnectivity-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtconnectivity-everywhere-src-5.12.4.tar.xz"; + sha256 = "749d05242b9fae12e80f569fb6b918dc011cb191eeb05147cbde474ca6b173ef"; + name = "qtconnectivity-everywhere-src-5.12.4.tar.xz"; }; }; qtdatavis3d = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtdatavis3d-everywhere-src-5.12.3.tar.xz"; - sha256 = "f6d073c4575542f8ff6de3ac3b6e8dde6ae2d87e98119de7a13bc984aa967313"; - name = "qtdatavis3d-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtdatavis3d-everywhere-src-5.12.4.tar.xz"; + sha256 = "1c160eeb430c8602aaee8ae4faa55bc62f880dae642be5fd1ac019f7886eb15a"; + name = "qtdatavis3d-everywhere-src-5.12.4.tar.xz"; }; }; qtdeclarative = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtdeclarative-everywhere-src-5.12.3.tar.xz"; - sha256 = "839881cd6996e35c351bc7d560372ebb91e61f3688957c33248c4f31ea007fa7"; - name = "qtdeclarative-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtdeclarative-everywhere-src-5.12.4.tar.xz"; + sha256 = "614105ed73079d67d81b34fef31c9934c5e751342e4b2e0297128c8c301acda7"; + name = "qtdeclarative-everywhere-src-5.12.4.tar.xz"; }; }; qtdoc = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtdoc-everywhere-src-5.12.3.tar.xz"; - sha256 = "ce5e9d0f48d108c48d742ab2127ead735270d7b525103c6cf409683d7fc8334f"; - name = "qtdoc-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtdoc-everywhere-src-5.12.4.tar.xz"; + sha256 = "93e6cb6abc0dad3a831a6e2c46d950bd7a99b59d60ce2d2b81c2ce893bfb41bb"; + name = "qtdoc-everywhere-src-5.12.4.tar.xz"; }; }; qtgamepad = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtgamepad-everywhere-src-5.12.3.tar.xz"; - sha256 = "5d046869e9646912936e3622efa755d85ccc8eddba91f5b12880cfb5e6489642"; - name = "qtgamepad-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtgamepad-everywhere-src-5.12.4.tar.xz"; + sha256 = "25de6f10fb18f2484d1e569688bf33deb90ecbfb97ce41c2b5fb3521146e4c45"; + name = "qtgamepad-everywhere-src-5.12.4.tar.xz"; }; }; qtgraphicaleffects = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtgraphicaleffects-everywhere-src-5.12.3.tar.xz"; - sha256 = "772c98a009cc82ac290f868906c5aa719e4608ef3c5905d69ef7402b15924a73"; - name = "qtgraphicaleffects-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtgraphicaleffects-everywhere-src-5.12.4.tar.xz"; + sha256 = "0bc38b168fa724411984525173d667aa47076c8cbd4eeb791d0da7fe4b9bdf73"; + name = "qtgraphicaleffects-everywhere-src-5.12.4.tar.xz"; }; }; qtimageformats = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtimageformats-everywhere-src-5.12.3.tar.xz"; - sha256 = "db5a9e784f9c327c1e6830b1550311024cc91202d3b8dde82cd0944164298be2"; - name = "qtimageformats-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtimageformats-everywhere-src-5.12.4.tar.xz"; + sha256 = "2dee25c3eea90d172cbd40f41450153322b902da1daa7d2370a55124b2307bb3"; + name = "qtimageformats-everywhere-src-5.12.4.tar.xz"; }; }; qtlocation = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtlocation-everywhere-src-5.12.3.tar.xz"; - sha256 = "52d589be2852ada0c000b06cc411b61e521cd0797470be567fd1625bcc9d75c6"; - name = "qtlocation-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtlocation-everywhere-src-5.12.4.tar.xz"; + sha256 = "127b40bd7679fead3fb98f4c9c1d71dde9d6d416e90a6000129b61a5f128b3a0"; + name = "qtlocation-everywhere-src-5.12.4.tar.xz"; }; }; qtmacextras = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtmacextras-everywhere-src-5.12.3.tar.xz"; - sha256 = "38dedd29d07ea9e4e92a7ef28f9e03c06cf9a1525aee4f8084310c519f5b47ed"; - name = "qtmacextras-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtmacextras-everywhere-src-5.12.4.tar.xz"; + sha256 = "3ea0b94f9b63e801f2ddafa2a908002d9529a3c65021d261627d21e07454acde"; + name = "qtmacextras-everywhere-src-5.12.4.tar.xz"; }; }; qtmultimedia = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtmultimedia-everywhere-src-5.12.3.tar.xz"; - sha256 = "a30beeb37fb284d93522e29c01fb8d12726f40e9248e80b70b1f8ab60197a301"; - name = "qtmultimedia-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtmultimedia-everywhere-src-5.12.4.tar.xz"; + sha256 = "7c0759ab6fca2480b10b71a35beeffe0b847adeff5af94eacd1a4531d033423d"; + name = "qtmultimedia-everywhere-src-5.12.4.tar.xz"; }; }; qtnetworkauth = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtnetworkauth-everywhere-src-5.12.3.tar.xz"; - sha256 = "dd6bf334be29fb82adaeecb184779328b4ad33a069528b9954d9c07f2d889332"; - name = "qtnetworkauth-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtnetworkauth-everywhere-src-5.12.4.tar.xz"; + sha256 = "e501eb46b8405a2b7db9fe90a1c224cf6676a07dc22c0662317ffe3dee1dbf55"; + name = "qtnetworkauth-everywhere-src-5.12.4.tar.xz"; }; }; qtpurchasing = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtpurchasing-everywhere-src-5.12.3.tar.xz"; - sha256 = "a848f1e1022af38571f5ab0c4ec4b904c12fa6ef19154d44abbcaeb35156753e"; - name = "qtpurchasing-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtpurchasing-everywhere-src-5.12.4.tar.xz"; + sha256 = "7804a111043d0e8d6d81a0d0ae465ce2c36eca73f2774ccb5fa7be8670211672"; + name = "qtpurchasing-everywhere-src-5.12.4.tar.xz"; }; }; qtquickcontrols = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtquickcontrols-everywhere-src-5.12.3.tar.xz"; - sha256 = "68ae03b35eaa44a24c3f663b842252053c9f2b00b18841fd39ff7d2150986f46"; - name = "qtquickcontrols-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtquickcontrols-everywhere-src-5.12.4.tar.xz"; + sha256 = "32d4c2505337c67b0bac26d7f565ec8fabdc616e61247e98674820769dda9858"; + name = "qtquickcontrols-everywhere-src-5.12.4.tar.xz"; }; }; qtquickcontrols2 = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtquickcontrols2-everywhere-src-5.12.3.tar.xz"; - sha256 = "e855e8369c3cb5a2ebcd2028a2a195ba73945fd9d5bc26134706c2fa14e99b3a"; - name = "qtquickcontrols2-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtquickcontrols2-everywhere-src-5.12.4.tar.xz"; + sha256 = "9a447eed38bc8c7d7be7bc407317f58940377c077ddca74c9a641b1ee6200331"; + name = "qtquickcontrols2-everywhere-src-5.12.4.tar.xz"; }; }; qtremoteobjects = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtremoteobjects-everywhere-src-5.12.3.tar.xz"; - sha256 = "3475a409127739930e0bf833cea5f7f605adc66ab25fac39b72ce4bf3039cc42"; - name = "qtremoteobjects-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtremoteobjects-everywhere-src-5.12.4.tar.xz"; + sha256 = "54dd0c782abff90bf0608771c2e90b36073d9bd8d6c61706a2873bb7c317f413"; + name = "qtremoteobjects-everywhere-src-5.12.4.tar.xz"; }; }; qtscript = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtscript-everywhere-src-5.12.3.tar.xz"; - sha256 = "0f37bf032a2370bd08667aad053f5a57717ea49596c16bf6cfb32b0d6e5c1f9e"; - name = "qtscript-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtscript-everywhere-src-5.12.4.tar.xz"; + sha256 = "7adb3fe77638c7a6f2a26bca850b0ff54f5fb7e5561d2e4141d14a84305c2b6a"; + name = "qtscript-everywhere-src-5.12.4.tar.xz"; }; }; qtscxml = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtscxml-everywhere-src-5.12.3.tar.xz"; - sha256 = "70c4b1f8e23560cf54e69aeb3ded4078434e6f78e1b9573fbad1ddace5fc4b19"; - name = "qtscxml-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtscxml-everywhere-src-5.12.4.tar.xz"; + sha256 = "696fb72a62018151275fe589fc80cb160d2becab9a3254321d40e2e11a0ad4f8"; + name = "qtscxml-everywhere-src-5.12.4.tar.xz"; }; }; qtsensors = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtsensors-everywhere-src-5.12.3.tar.xz"; - sha256 = "7f63fedf60fdf110a3fc529568c7226d7acd59cc5eaee908f4d5a969e34005fc"; - name = "qtsensors-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtsensors-everywhere-src-5.12.4.tar.xz"; + sha256 = "95873c7ea5960008d6eb41368ca64d68fbd05594ca8c2cd848b1612fc4aec0a9"; + name = "qtsensors-everywhere-src-5.12.4.tar.xz"; }; }; qtserialbus = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtserialbus-everywhere-src-5.12.3.tar.xz"; - sha256 = "792cd2d411d2ebd737f5d09580f8db479cd35f2f7e7cedb4412075ef20fcfe4d"; - name = "qtserialbus-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtserialbus-everywhere-src-5.12.4.tar.xz"; + sha256 = "69d56905f43ee13e670750e8f46d373835fae81d6343baa7c4004d2a2c6311fc"; + name = "qtserialbus-everywhere-src-5.12.4.tar.xz"; }; }; qtserialport = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtserialport-everywhere-src-5.12.3.tar.xz"; - sha256 = "1faf7df4a1f9028bef1ce79330badb4e5cbbba9f717c53cafc5aea41eed1de51"; - name = "qtserialport-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtserialport-everywhere-src-5.12.4.tar.xz"; + sha256 = "bf487df8a9fb2eddf103842b57a75b17ef4c498ee40306ae9997017c82b0ad39"; + name = "qtserialport-everywhere-src-5.12.4.tar.xz"; }; }; qtspeech = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtspeech-everywhere-src-5.12.3.tar.xz"; - sha256 = "ed211822765744553fb5abeb97058420668b18a50d985061d949a0e068ee64f5"; - name = "qtspeech-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtspeech-everywhere-src-5.12.4.tar.xz"; + sha256 = "2ff9660fb3f5663c9161f491d1a304db62691720136ae22c145ef6a1c94b90ec"; + name = "qtspeech-everywhere-src-5.12.4.tar.xz"; }; }; qtsvg = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtsvg-everywhere-src-5.12.3.tar.xz"; - sha256 = "f666438dbf6816b7534e539b95e3fa4405f11d7e2e2bbcde34f2db5ae0f27dc2"; - name = "qtsvg-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtsvg-everywhere-src-5.12.4.tar.xz"; + sha256 = "110812515a73c650e5ebc41305d9a243dadeb21f485aaed773e394dd84ce0d04"; + name = "qtsvg-everywhere-src-5.12.4.tar.xz"; }; }; qttools = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qttools-everywhere-src-5.12.3.tar.xz"; - sha256 = "c9e92d2f0d369e44bb1a60e9fa6d970f8d9893d653212305e04be5e6daec2cd8"; - name = "qttools-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qttools-everywhere-src-5.12.4.tar.xz"; + sha256 = "3b0e353860a9c0cd4db9eeae5f94fef8811ed7d107e3e5e97e4a557f61bd6eb6"; + name = "qttools-everywhere-src-5.12.4.tar.xz"; }; }; qttranslations = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qttranslations-everywhere-src-5.12.3.tar.xz"; - sha256 = "eefcec0a91c302548f9d948a138b8ec77d78570ce818931bd8475b1bff1205ca"; - name = "qttranslations-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qttranslations-everywhere-src-5.12.4.tar.xz"; + sha256 = "ab8dd55f5ca869cab51c3a6ce0888f854b96dc03c7f25d2bd3d2c50314ab60fb"; + name = "qttranslations-everywhere-src-5.12.4.tar.xz"; }; }; qtvirtualkeyboard = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtvirtualkeyboard-everywhere-src-5.12.3.tar.xz"; - sha256 = "7b83af4527310de4ab81146622f3a46677daabf05556d0e33a2e25ca2aa13b22"; - name = "qtvirtualkeyboard-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtvirtualkeyboard-everywhere-src-5.12.4.tar.xz"; + sha256 = "33ac0356f916995fe5a91582e12b4c4f730c705808ea3c14e75c6e350e8131e6"; + name = "qtvirtualkeyboard-everywhere-src-5.12.4.tar.xz"; }; }; qtwayland = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtwayland-everywhere-src-5.12.3.tar.xz"; - sha256 = "f0b45ad84180730e2d5a1249eb20c6357869b4b78f45eb266c2f2b17f77d86ff"; - name = "qtwayland-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtwayland-everywhere-src-5.12.4.tar.xz"; + sha256 = "2fade959c3927687134c597d85c12ba1af22129a60ab326c2dc77a648e74e6b7"; + name = "qtwayland-everywhere-src-5.12.4.tar.xz"; }; }; qtwebchannel = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtwebchannel-everywhere-src-5.12.3.tar.xz"; - sha256 = "72d1620bcc94e14caa91ddf344c84cd1288aa9479e00b1bb3b5e51f92efe088a"; - name = "qtwebchannel-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtwebchannel-everywhere-src-5.12.4.tar.xz"; + sha256 = "ab571a1b699e61a86be1a6b8d6ffd998d431c4850cc27e9a21f81fa5923bfdb7"; + name = "qtwebchannel-everywhere-src-5.12.4.tar.xz"; }; }; qtwebengine = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtwebengine-everywhere-src-5.12.3.tar.xz"; - sha256 = "3ff3bac12d75aa0f3fd993bb7077fe411f7b0e6a3993af6f8b039d48e3dc4317"; - name = "qtwebengine-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtwebengine-everywhere-src-5.12.4.tar.xz"; + sha256 = "fccf5c945412c19c3805323211b504ac8becbf191c638a2dc85ec91abfb1b331"; + name = "qtwebengine-everywhere-src-5.12.4.tar.xz"; }; }; qtwebglplugin = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtwebglplugin-everywhere-src-5.12.3.tar.xz"; - sha256 = "23da63013101e97c4e663bb4f6dbb1c7b4386679c634680d3b8d79bcc59d26b3"; - name = "qtwebglplugin-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtwebglplugin-everywhere-src-5.12.4.tar.xz"; + sha256 = "756fa09893618029bb56605be3ac5756a1834255fb223f8e4b7de205846d3266"; + name = "qtwebglplugin-everywhere-src-5.12.4.tar.xz"; }; }; qtwebsockets = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtwebsockets-everywhere-src-5.12.3.tar.xz"; - sha256 = "258883225c5e089015c4036f31019aa8f5bb013ecd8eecd193342e606319a577"; - name = "qtwebsockets-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtwebsockets-everywhere-src-5.12.4.tar.xz"; + sha256 = "b471eda2f486d21c51fc3bc53bb8844022117e746d5f15c5eabb82cd37eb2abe"; + name = "qtwebsockets-everywhere-src-5.12.4.tar.xz"; }; }; qtwebview = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtwebview-everywhere-src-5.12.3.tar.xz"; - sha256 = "f904e7fd7e755527e5bc4633c6f7c144065a3ffea473bf01fffb730385a983c5"; - name = "qtwebview-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtwebview-everywhere-src-5.12.4.tar.xz"; + sha256 = "1f244c6b774dd9d03d3c5cafe877381900b50a2775cef6487c8bb66e32ab5a5d"; + name = "qtwebview-everywhere-src-5.12.4.tar.xz"; }; }; qtwinextras = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtwinextras-everywhere-src-5.12.3.tar.xz"; - sha256 = "2b6319f7dd19fc19b028685c163a69f0a10e610d7554411d4660c1b5e42ada3b"; - name = "qtwinextras-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtwinextras-everywhere-src-5.12.4.tar.xz"; + sha256 = "f6e0172582a499d5e50c51877552d1a3bff66546d9a02e5754100a51b192973f"; + name = "qtwinextras-everywhere-src-5.12.4.tar.xz"; }; }; qtx11extras = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtx11extras-everywhere-src-5.12.3.tar.xz"; - sha256 = "85e3ae5177970c2d8656226d7535d0dff5764c100e55a79a59161d80754ba613"; - name = "qtx11extras-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtx11extras-everywhere-src-5.12.4.tar.xz"; + sha256 = "49cc009eaf4a01ca7dbe12651ef39de9a43860acb674aec372e70b209f9bae1e"; + name = "qtx11extras-everywhere-src-5.12.4.tar.xz"; }; }; qtxmlpatterns = { - version = "5.12.3"; + version = "5.12.4"; src = fetchurl { - url = "${mirror}/official_releases/qt/5.12/5.12.3/submodules/qtxmlpatterns-everywhere-src-5.12.3.tar.xz"; - sha256 = "e0b98e7c92cd791a9b354d090788347db78f14c47579384fe22d0b650c1d8a61"; - name = "qtxmlpatterns-everywhere-src-5.12.3.tar.xz"; + url = "${mirror}/official_releases/qt/5.12/5.12.4/submodules/qtxmlpatterns-everywhere-src-5.12.4.tar.xz"; + sha256 = "0bea1719bb948f65cbed4375cc3e997a6464f35d25b631bafbd7a3161f8f5666"; + name = "qtxmlpatterns-everywhere-src-5.12.4.tar.xz"; }; }; } diff --git a/nixpkgs/pkgs/development/libraries/qt-5/5.9/default.nix b/nixpkgs/pkgs/development/libraries/qt-5/5.9/default.nix index a872f212ff7..8854ed54700 100644 --- a/nixpkgs/pkgs/development/libraries/qt-5/5.9/default.nix +++ b/nixpkgs/pkgs/development/libraries/qt-5/5.9/default.nix @@ -53,9 +53,18 @@ let ]; qtserialport = [ ./qtserialport.patch ]; qttools = [ ./qttools.patch ]; - qtwebengine = [ ./qtwebengine-no-build-skip.patch ] - ++ optional stdenv.cc.isClang ./qtwebengine-clang-fix.patch + qtwebengine = [ + ./qtwebengine-no-build-skip.patch + # https://gitlab.freedesktop.org/pulseaudio/pulseaudio/issues/707 + # https://bugreports.qt.io/browse/QTBUG-77037 + (fetchpatch { + name = "fix-build-with-pulseaudio-13.0.patch"; + url = "https://git.archlinux.org/svntogit/packages.git/plain/trunk/qtbug-77037-workaround.patch?h=packages/qt5-webengine&id=fc77d6b3d5ec74e421b58f199efceb2593cbf951"; + sha256 = "1gv733qfdn9746nbqqxzyjx4ijjqkkb7zb71nxax49nna5bri3am"; + }) + ] ++ optional stdenv.cc.isClang ./qtwebengine-clang-fix.patch ++ optional stdenv.isDarwin ./qtwebengine-darwin-no-platform-check.patch; + qtwebkit = [ ./qtwebkit.patch ]; qtvirtualkeyboard = [ (fetchpatch { @@ -154,9 +163,7 @@ let qmake = makeSetupHook { deps = [ self.qtbase.dev ]; substitutions = { - inherit (stdenv) isDarwin; - qtbase_dev = self.qtbase.dev; - fix_qt_builtin_paths = ../hooks/fix-qt-builtin-paths.sh; + fix_qmake_libtool = ../hooks/fix-qmake-libtool.sh; }; } ../hooks/qmake-hook.sh; diff --git a/nixpkgs/pkgs/development/libraries/qt-5/hooks/fix-qmake-libtool.sh b/nixpkgs/pkgs/development/libraries/qt-5/hooks/fix-qmake-libtool.sh new file mode 100644 index 00000000000..5acaeb82446 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/qt-5/hooks/fix-qmake-libtool.sh @@ -0,0 +1,14 @@ +# Fix libtool libraries generated by qmake. +# qmake started inserting filenames of shared objects instead of the appropriate +# linker flags. fixQmakeLibtool searches for broken libtool libraries and +# replaces the filenames with the linker flags that should have been there. +fixQmakeLibtool() { + if [ -d "$1" ]; then + find "$1" -name '*.la' | while read la; do + sed -i "$la" \ + -e '/^dependency_libs/ s,\(/[^ ]\+\)/lib\([^/ ]\+\)\.so,-L\1 -l\2,g' + done + fi +} + +fixupOutputHooks+=('fixQmakeLibtool $prefix') diff --git a/nixpkgs/pkgs/development/libraries/qt-5/hooks/qmake-hook.sh b/nixpkgs/pkgs/development/libraries/qt-5/hooks/qmake-hook.sh index eef2c7d24df..c3373983e32 100644 --- a/nixpkgs/pkgs/development/libraries/qt-5/hooks/qmake-hook.sh +++ b/nixpkgs/pkgs/development/libraries/qt-5/hooks/qmake-hook.sh @@ -1,14 +1,27 @@ +. @fix_qmake_libtool@ + +qmakeFlags=( $qmakeFlags ) + +qmakePrePhase() { + qmakeFlags=( \ + "PREFIX=$out" \ + "NIX_OUTPUT_OUT=$out" \ + "NIX_OUTPUT_DEV=${!outputDev}" \ + "NIX_OUTPUT_BIN=${!outputBin}" \ + "NIX_OUTPUT_DOC=${!outputDev}/${qtDocPrefix:?}" \ + "NIX_OUTPUT_QML=${!outputBin}/${qtQmlPrefix:?}" \ + "NIX_OUTPUT_PLUGIN=${!outputBin}/${qtPluginPrefix:?}" \ + "${qmakeFlags[@]}" \ + ) +} +prePhases+=" qmakePrePhase" + qmakeConfigurePhase() { runHook preConfigure - qmake PREFIX=$out \ - NIX_OUTPUT_OUT=$out \ - NIX_OUTPUT_DEV=${!outputDev} \ - NIX_OUTPUT_BIN=${!outputBin} \ - NIX_OUTPUT_DOC=${!outputDev}/${qtDocPrefix:?} \ - NIX_OUTPUT_QML=${!outputBin}/${qtQmlPrefix:?} \ - NIX_OUTPUT_PLUGIN=${!outputBin}/${qtPluginPrefix:?} \ - $qmakeFlags + echo "QMAKEPATH=$QMAKEPATH" + echo qmake "${qmakeFlags[@]}" + qmake "${qmakeFlags[@]}" if ! [[ -v enableParallelBuilding ]]; then enableParallelBuilding=1 diff --git a/nixpkgs/pkgs/development/libraries/qt-5/hooks/qttools-setup-hook.sh b/nixpkgs/pkgs/development/libraries/qt-5/hooks/qttools-setup-hook.sh index b09cf5f46c9..c320a797447 100644 --- a/nixpkgs/pkgs/development/libraries/qt-5/hooks/qttools-setup-hook.sh +++ b/nixpkgs/pkgs/development/libraries/qt-5/hooks/qttools-setup-hook.sh @@ -1 +1 @@ -qmakeFlags="$qmakeFlags${qmakeFlags:+ }QMAKE_LRELEASE=@dev@/bin/lrelease" +qmakeFlags+=( "QMAKE_LRELEASE=@dev@/bin/lrelease" ) diff --git a/nixpkgs/pkgs/development/libraries/qt-5/modules/qtbase.nix b/nixpkgs/pkgs/development/libraries/qt-5/modules/qtbase.nix index 8119513618b..3a0c9085f54 100644 --- a/nixpkgs/pkgs/development/libraries/qt-5/modules/qtbase.nix +++ b/nixpkgs/pkgs/development/libraries/qt-5/modules/qtbase.nix @@ -31,6 +31,8 @@ assert withGtk3 -> gtk3 != null; let compareVersion = v: builtins.compareVersions version v; + qmakeCacheName = + if compareVersion "5.12.4" < 0 then ".qmake.cache" else ".qmake.stash"; in stdenv.mkDerivation { @@ -47,7 +49,7 @@ stdenv.mkDerivation { # Image formats libjpeg libpng libtiff - (if compareVersion "5.9.0" >= 0 then pcre2 else pcre16) + (if compareVersion "5.9.0" < 0 then pcre16 else pcre2) ] ++ ( if stdenv.isDarwin @@ -98,6 +100,7 @@ stdenv.mkDerivation { . "$fix_qt_builtin_paths" . "$fix_qt_module_paths" . ${../hooks/move-qt-dev-tools.sh} + . ${../hooks/fix-qmake-libtool.sh} ''; postPatch = @@ -171,8 +174,17 @@ stdenv.mkDerivation { -qmldir $out/$qtQmlPrefix \ -docdir $out/$qtDocPrefix" - createQmakeCache() { - cat >>"$1" <<EOF + NIX_CFLAGS_COMPILE+=" -DNIXPKGS_QT_PLUGIN_PREFIX=\"$qtPluginPrefix\"" + ''; + + postConfigure = '' + qmakeCacheInjectNixOutputs() { + local cache="$1/${qmakeCacheName}" + echo "qmakeCacheInjectNixOutputs: $cache" + if ! [ -f "$cache" ]; then + echo >&2 "qmakeCacheInjectNixOutputs: WARNING: $cache does not exist" + fi + cat >>"$cache" <<EOF NIX_OUTPUT_BIN = $bin NIX_OUTPUT_DEV = $dev NIX_OUTPUT_OUT = $out @@ -183,15 +195,10 @@ stdenv.mkDerivation { } find . -name '.qmake.conf' | while read conf; do - cache=$(dirname $conf)/.qmake.cache - echo "Creating \`$cache'" - createQmakeCache "$cache" + qmakeCacheInjectNixOutputs "$(dirname $conf)" done - - NIX_CFLAGS_COMPILE+=" -DNIXPKGS_QT_PLUGIN_PREFIX=\"$qtPluginPrefix\"" ''; - NIX_CFLAGS_COMPILE = [ "-Wno-error=sign-compare" # freetype-2.5.4 changed signedness of some struct fields @@ -392,13 +399,11 @@ stdenv.mkDerivation { moveToOutput bin "$dev" '' - + ( - # fixup .pc file (where to find 'moc' etc.) - '' - sed -i "$dev/lib/pkgconfig/Qt5Core.pc" \ - -e "/^host_bins=/ c host_bins=$dev/bin" - '' - ); + # fixup .pc file (where to find 'moc' etc.) + + '' + sed -i "$dev/lib/pkgconfig/Qt5Core.pc" \ + -e "/^host_bins=/ c host_bins=$dev/bin" + ''; setupHook = ../hooks/qtbase-setup-hook.sh; diff --git a/nixpkgs/pkgs/development/libraries/raft-canonical/default.nix b/nixpkgs/pkgs/development/libraries/raft-canonical/default.nix new file mode 100644 index 00000000000..515fbc602d9 --- /dev/null +++ b/nixpkgs/pkgs/development/libraries/raft-canonical/default.nix @@ -0,0 +1,44 @@ +{ stdenv, fetchFromGitHub, autoreconfHook, pkgconfig, file, libuv }: + +stdenv.mkDerivation rec { + pname = "raft-canonical"; + version = "0.9.6"; + + src = fetchFromGitHub { + owner = "canonical"; + repo = "raft"; + rev = "v${version}"; + sha256 = "083il7b5kw3pc7m5p9xjpb9dlvfarc51sni92mkgm9ckc32x9vpp"; + }; + + nativeBuildInputs = [ autoreconfHook file pkgconfig ]; + buildInputs = [ libuv ]; + + preConfigure = '' + substituteInPlace configure --replace /usr/bin/ " " + ''; + + doCheck = false; + # Due to + #io_uv_recv/success/first [ ERROR ] + #Error: test/lib/dir.c:97: No such file or directory + #Error: child killed by signal 6 (Aborted) + + outputs = [ "dev" "out" ]; + + meta = with stdenv.lib; { + description = '' + Fully asynchronous C implementation of the Raft consensus protocol + ''; + longDescription = '' + The library has modular design: its core part implements only the core + Raft algorithm logic, in a fully platform independent way. On top of + that, a pluggable interface defines the I/O implementation for networking + (send/receive RPC messages) and disk persistence (store log entries and + snapshots). + ''; + homepage = "https://github.com/canonical/raft"; + license = licenses.asl20; + maintainers = [ maintainers.wucke13 ]; + }; +} diff --git a/nixpkgs/pkgs/development/libraries/science/math/mkl/default.nix b/nixpkgs/pkgs/development/libraries/science/math/mkl/default.nix index 2dcac58bf6c..5700b2118b9 100644 --- a/nixpkgs/pkgs/development/libraries/science/math/mkl/default.nix +++ b/nixpkgs/pkgs/development/libraries/science/math/mkl/default.nix @@ -3,7 +3,7 @@ For details on using mkl as a blas provider for python packages such as numpy, numexpr, scipy, etc., see the Python section of the NixPkgs manual. */ -stdenvNoCC.mkDerivation (rec { +stdenvNoCC.mkDerivation rec { name = "mkl-${version}"; version = "${date}.${rel}"; date = "2019.3"; @@ -35,11 +35,25 @@ stdenvNoCC.mkDerivation (rec { done '' else '' rpmextract rpm/intel-mkl-common-c-${date}-${rel}-${date}-${rel}.noarch.rpm + rpmextract rpm/intel-mkl-core-${date}-${rel}-${date}-${rel}.x86_64.rpm rpmextract rpm/intel-mkl-core-rt-${date}-${rel}-${date}-${rel}.x86_64.rpm rpmextract rpm/intel-openmp-19.0.3-${rel}-19.0.3-${rel}.x86_64.rpm ''; - installPhase = if stdenvNoCC.isDarwin then '' + installPhase = '' + for f in $(find . -name 'mkl*.pc') ; do + bn=$(basename $f) + substituteInPlace $f \ + --replace "prefix=<INSTALLDIR>/mkl" "prefix=$out" \ + --replace "lib/intel64_lin" "lib" + done + + for f in $(find opt/intel -name 'mkl*iomp.pc') ; do + substituteInPlace $f \ + --replace "../compiler/lib" "lib" + done + '' + + (if stdenvNoCC.isDarwin then '' mkdir -p $out/lib cp -r compilers_and_libraries_${version}/mac/mkl/include $out/ @@ -48,6 +62,9 @@ stdenvNoCC.mkDerivation (rec { cp -r compilers_and_libraries_${version}/mac/compiler/lib/* $out/lib/ cp -r compilers_and_libraries_${version}/mac/mkl/lib/* $out/lib/ cp -r compilers_and_libraries_${version}/mac/tbb/lib/* $out/lib/ + + mkdir -p $out/lib/pkgconfig + cp -r compilers_and_libraries_${version}/mac/mkl/bin/pkgconfig/* $out/lib/pkgconfig '' else '' mkdir -p $out/lib @@ -56,7 +73,10 @@ stdenvNoCC.mkDerivation (rec { cp -r opt/intel/compilers_and_libraries_${version}/linux/compiler/lib/intel64_lin/* $out/lib/ cp -r opt/intel/compilers_and_libraries_${version}/linux/mkl/lib/intel64_lin/* $out/lib/ cp license.txt $out/lib/ - ''; + + mkdir -p $out/lib/pkgconfig + cp -r opt/intel/compilers_and_libraries_${version}/linux/mkl/bin/pkgconfig/* $out/lib/pkgconfig + ''); # fixDarwinDylibName fails for libmkl_cdft_core.dylib because the # larger updated load commands do not fit. Use install_name_tool @@ -87,10 +107,4 @@ stdenvNoCC.mkDerivation (rec { platforms = [ "x86_64-linux" "x86_64-darwin" ]; maintainers = [ maintainers.bhipple ]; }; - } // stdenvNoCC.lib.optionalAttrs stdenvNoCC.isLinux { - # Since on Linux binaries are unmodified, we can make them - # fixed-output derivations. - outputHashAlgo = "sha256"; - outputHashMode = "recursive"; - outputHash = "101krzh2mjbfx8kvxim2zphdvgg7iijhbf9xdz3ad3ncgybxbdvw"; - }) +} diff --git a/nixpkgs/pkgs/development/libraries/spandsp/default.nix b/nixpkgs/pkgs/development/libraries/spandsp/default.nix index 407e3d5e235..ba1d3e992d6 100644 --- a/nixpkgs/pkgs/development/libraries/spandsp/default.nix +++ b/nixpkgs/pkgs/development/libraries/spandsp/default.nix @@ -6,6 +6,9 @@ stdenv.mkDerivation rec { url = "https://www.soft-switch.org/downloads/spandsp/spandsp-${version}.tar.gz"; sha256 = "0rclrkyspzk575v8fslzjpgp4y2s4x7xk3r55ycvpi4agv33l1fc"; }; + + outputs = [ "out" "dev" ]; + propagatedBuildInputs = [audiofile libtiff]; meta = { homepage = http://www.creytiv.com/baresip.html; diff --git a/nixpkgs/pkgs/development/libraries/srtp/default.nix b/nixpkgs/pkgs/development/libraries/srtp/default.nix index 3940faf1d6d..129d57904d1 100644 --- a/nixpkgs/pkgs/development/libraries/srtp/default.nix +++ b/nixpkgs/pkgs/development/libraries/srtp/default.nix @@ -14,6 +14,8 @@ stdenv.mkDerivation rec { sha256 = "1ac7xs1djb03j131f1gmqyfmrplblid9qqyxahs0shdy707r5ll6"; }; + outputs = [ "out" "dev" ]; + nativeBuildInputs = [ pkgconfig ]; # libsrtp.pc references -lcrypto -lpcap without -L diff --git a/nixpkgs/pkgs/development/libraries/symengine/default.nix b/nixpkgs/pkgs/development/libraries/symengine/default.nix index 47b93ab373a..a41b086016b 100644 --- a/nixpkgs/pkgs/development/libraries/symengine/default.nix +++ b/nixpkgs/pkgs/development/libraries/symengine/default.nix @@ -9,13 +9,13 @@ stdenv.mkDerivation rec { pname = "symengine"; - version = "0.4.1"; + version = "0.5.0"; src = fetchFromGitHub { owner = "symengine"; repo = "symengine"; rev = "v${version}"; - sha256 = "0qqxr7l5lr81k6fs2h5isr43x0dw07rwg3ivl6vd8zmmcgsp9ygd"; + sha256 = "1zgfhqv43qcfkfdyf1p82bcfv05n6iix6yw6qx1y5bnb7dv74irw"; }; nativeBuildInputs = [ cmake ]; diff --git a/nixpkgs/pkgs/development/libraries/tracker-miners/default.nix b/nixpkgs/pkgs/development/libraries/tracker-miners/default.nix index 99374b572c6..3f171f47e79 100644 --- a/nixpkgs/pkgs/development/libraries/tracker-miners/default.nix +++ b/nixpkgs/pkgs/development/libraries/tracker-miners/default.nix @@ -45,11 +45,11 @@ stdenv.mkDerivation rec { pname = "tracker-miners"; - version = "2.3.0"; + version = "2.3.1"; src = fetchurl { url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "1ky7hd0vms1z40a0y9wq9pg3l7gxh4p6a0ngmnjqh8p23qfxdrxd"; + sha256 = "1q4hlpl3nkr0y13rzkwryyajnpy5s661z8n82dw1rskrg9mf07bv"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/development/libraries/tracker/default.nix b/nixpkgs/pkgs/development/libraries/tracker/default.nix index 4add8046de1..79b0b2e9203 100644 --- a/nixpkgs/pkgs/development/libraries/tracker/default.nix +++ b/nixpkgs/pkgs/development/libraries/tracker/default.nix @@ -1,18 +1,18 @@ { stdenv, fetchurl, gettext, meson, ninja, pkgconfig, gobject-introspection, python3 , gtk-doc, docbook_xsl, docbook_xml_dtd_412, docbook_xml_dtd_43, glibcLocales , libxml2, upower, glib, wrapGAppsHook, vala, sqlite, libxslt, libstemmer -, gnome3, icu, libuuid, networkmanager, libsoup, json-glib, systemd +, gnome3, icu, libuuid, networkmanager, libsoup, json-glib, systemd, dbus , substituteAll }: stdenv.mkDerivation rec { pname = "tracker"; - version = "2.3.0"; + version = "2.3.1"; outputs = [ "out" "dev" "devdoc" ]; src = fetchurl { url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "0yxzqvjm3ij28p6g8jc4pd90yhhslmykcvi1cnyb069lm16m611c"; + sha256 = "1888vyz2l5n46ywb70fryd0qipyh3x5n6q0mk56jzbb5whk8fx5n"; }; nativeBuildInputs = [ @@ -20,6 +20,7 @@ stdenv.mkDerivation rec { gtk-doc docbook_xsl docbook_xml_dtd_412 docbook_xml_dtd_43 glibcLocales python3 # for data-generators systemd # used for checks to install systemd user service + dbus # used for checks and pkgconfig to install dbus service/s ]; buildInputs = [ diff --git a/nixpkgs/pkgs/development/libraries/tremor/default.nix b/nixpkgs/pkgs/development/libraries/tremor/default.nix index 29133607689..91b6d2cb167 100644 --- a/nixpkgs/pkgs/development/libraries/tremor/default.nix +++ b/nixpkgs/pkgs/development/libraries/tremor/default.nix @@ -9,6 +9,8 @@ stdenv.mkDerivation { sha256 = "0m07gq4zfgigsiz8b518xyb19v7qqp76qmp7lb262825vkqzl3zq"; }; + outputs = [ "out" "dev" ]; + nativeBuildInputs = [ autoreconfHook pkgconfig ]; propagatedBuildInputs = [ libogg ]; diff --git a/nixpkgs/pkgs/development/libraries/vte/default.nix b/nixpkgs/pkgs/development/libraries/vte/default.nix index 0738eaf7157..0d5686ccc0a 100644 --- a/nixpkgs/pkgs/development/libraries/vte/default.nix +++ b/nixpkgs/pkgs/development/libraries/vte/default.nix @@ -20,13 +20,13 @@ stdenv.mkDerivation rec { pname = "vte"; - version = "0.58.1"; + version = "0.58.2"; outputs = [ "out" "dev" ]; src = fetchurl { url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${pname}-${version}.tar.xz"; - sha256 = "1njq88a8956xpmakkd1ph992jmpaimk8zjlh5ywh5psr33x8mi94"; + sha256 = "1h1bljr090cgnzim00q4pnsmjqblzn1sig3d87wv1hzjn796dj9k"; }; passthru = { diff --git a/nixpkgs/pkgs/development/libraries/wt/default.nix b/nixpkgs/pkgs/development/libraries/wt/default.nix index 31fa104fb05..b5a7bae68ea 100644 --- a/nixpkgs/pkgs/development/libraries/wt/default.nix +++ b/nixpkgs/pkgs/development/libraries/wt/default.nix @@ -27,13 +27,16 @@ let ]; cmakeFlags = [ - "-DWT_WRASTERIMAGE_IMPLEMENTATION=GraphicsMagick" "-DWT_CPP_11_MODE=-std=c++11" - "-DGM_PREFIX=${graphicsmagick}" - "-DMYSQL_PREFIX=${libmysqlclient}" "-DHARFBUZZ_INCLUDE_DIR=${harfbuzz.dev}/include" "--no-warn-unused-cli" - ]; + ] + ++ stdenv.lib.optionals (graphicsmagick != null) [ + "-DWT_WRASTERIMAGE_IMPLEMENTATION=GraphicsMagick" + "-DGM_PREFIX=${graphicsmagick}" + ] + ++ stdenv.lib.optional (libmysqlclient != null) + "-DMYSQL_PREFIX=${libmysqlclient}"; meta = with stdenv.lib; { homepage = "https://www.webtoolkit.eu/wt"; diff --git a/nixpkgs/pkgs/development/libraries/zziplib/default.nix b/nixpkgs/pkgs/development/libraries/zziplib/default.nix index 8b199d3e89a..74bfeb09c0d 100644 --- a/nixpkgs/pkgs/development/libraries/zziplib/default.nix +++ b/nixpkgs/pkgs/development/libraries/zziplib/default.nix @@ -15,6 +15,22 @@ stdenv.mkDerivation rec { url = "https://github.com/gdraheim/zziplib/commit/f609ae8971f3c0ce6.diff"; sha256 = "0jhiz4fgr93wzh6q03avn95b2nsf6402jaki6hxirxyhs5v9ahry"; }) + + (fetchpatch { + name = "CVE-2018-16548-part1.patch"; + url = "https://github.com/gdraheim/zziplib/commit/9411bde3e4a70a81ff3ffd256b71927b2d90dcbb.patch"; + sha256 = "0cy8i182zbvcqzs5z2j13d5sl7hbh59pkgw4xkyg5yz739q4fp9b"; + }) + (fetchpatch { + name = "CVE-2018-16548-part2.patch"; + url = "https://github.com/gdraheim/zziplib/commit/d2e5d5c53212e54a97ad64b793a4389193fec687.patch"; + sha256 = "153wd4vab8xqj9avcpx8g2zw9qsp9nkaqi7yc65pz3r7xfcxwdla"; + }) + (fetchpatch { + name = "CVE-2018-16548-part3.patch"; + url = "https://github.com/gdraheim/zziplib/commit/0e1dadb05c1473b9df2d7b8f298dab801778ef99.patch"; + sha256 = "0fs6dns8l7dz5a900397g8b7x62z72b0pbpdmwk1hnx6vb7z5rz5"; + }) ]; postPatch = '' sed -i -e s,--export-dynamic,, configure diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/_3bmd.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/_3bmd.nix index 729f5937117..a5fddd417fa 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/_3bmd.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/_3bmd.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''_3bmd''; version = ''20171019-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/alexandria.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/alexandria.nix index 15b907e341e..3ed0c3eca78 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/alexandria.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/alexandria.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''alexandria''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/anaphora.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/anaphora.nix index 5921b5f49b1..61a07c61ca0 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/anaphora.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/anaphora.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''anaphora''; version = ''20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/array-utils.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/array-utils.nix index 988b247482b..efa5dc6e769 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/array-utils.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/array-utils.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''array-utils''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/asdf-system-connections.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/asdf-system-connections.nix index 1d1ef41f2d1..65df45d95a5 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/asdf-system-connections.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/asdf-system-connections.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''asdf-system-connections''; version = ''20170124-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/babel.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/babel.nix index d8a12f6edbe..4cba3e86e06 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/babel.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/babel.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''babel''; version = ''20171227-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/blackbird.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/blackbird.nix index d7cebabb0ad..a660882f36b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/blackbird.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/blackbird.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''blackbird''; version = ''20160531-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/bordeaux-threads.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/bordeaux-threads.nix index 530a3b76d30..c5305587a02 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/bordeaux-threads.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/bordeaux-threads.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''bordeaux-threads''; version = ''v0.8.6''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/buildnode-xhtml.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/buildnode-xhtml.nix index 71a7a1894a2..5d7f3f2dd10 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/buildnode-xhtml.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/buildnode-xhtml.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''buildnode-xhtml''; version = ''buildnode-20170403-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/buildnode.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/buildnode.nix index 26d907c2524..0a2e56a9c9b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/buildnode.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/buildnode.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''buildnode''; version = ''20170403-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/caveman.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/caveman.nix index d159ce49581..6cf81070734 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/caveman.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/caveman.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''caveman''; version = ''20181210-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi-grovel.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi-grovel.nix index c4e3b9b5734..a7d22ac129b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi-grovel.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi-grovel.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cffi-grovel''; version = ''cffi_0.20.1''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi-toolchain.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi-toolchain.nix index db85c50a5c1..3d246380607 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi-toolchain.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi-toolchain.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cffi-toolchain''; version = ''cffi_0.20.1''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi.nix index 08115ea3a3a..b83b1461197 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cffi.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cffi''; version = ''cffi_0.20.1''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/chipz.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/chipz.nix index c9700a9d009..c30c68e53c8 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/chipz.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/chipz.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''chipz''; version = ''20190202-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/chunga.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/chunga.nix index 365ae1d6ef6..41520bf41fb 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/chunga.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/chunga.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''chunga''; version = ''20180131-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/circular-streams.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/circular-streams.nix index ea812b38c95..2e387d29833 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/circular-streams.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/circular-streams.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''circular-streams''; version = ''20161204-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-aa.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-aa.nix index 9ffc4c0d39e..531d429df24 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-aa.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-aa.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-aa''; version = ''cl-vectors-20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-annot.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-annot.nix index 5dab19a5531..5f93167a114 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-annot.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-annot.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-annot''; version = ''20150608-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-anonfun.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-anonfun.nix index 8459e32fd04..a413743eb8d 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-anonfun.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-anonfun.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-anonfun''; version = ''20111203-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ansi-text.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ansi-text.nix index 1e61d4e48e7..9b053c920e3 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ansi-text.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ansi-text.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-ansi-text''; version = ''20150804-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async-repl.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async-repl.nix index e366dc551d6..d84233c4342 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async-repl.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async-repl.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-async-repl''; version = ''cl-async-20190307-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async-ssl.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async-ssl.nix index d18c95153ff..c13b8b70647 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async-ssl.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async-ssl.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-async-ssl''; version = ''cl-async-20190307-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async.nix index 876a24055c2..363227534a8 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-async.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-async''; version = ''20190307-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-base64.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-base64.nix index 8aa43a81f19..a4a9a9261fd 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-base64.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-base64.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-base64''; version = ''20150923-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-cli.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-cli.nix index aed744e0433..36641b73b75 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-cli.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-cli.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-cli''; version = ''20151218-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-colors.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-colors.nix index 9b69bf886c0..0d0337a65ce 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-colors.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-colors.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-colors''; version = ''20180328-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-cookie.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-cookie.nix index 62f895b2027..a3aacd0aa8b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-cookie.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-cookie.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-cookie''; version = ''20150804-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-csv.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-csv.nix index 82b63ca088d..1f20b17672d 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-csv.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-csv.nix @@ -1,9 +1,9 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-csv''; version = ''20180831-git''; - parasites = [ "cl-csv/speed-test" "cl-csv/test" ]; + parasites = [ "cl-csv/test" ]; description = ''Facilities for reading and writing CSV format files''; @@ -34,4 +34,4 @@ args @ { fetchurl, ... }: (alexandria cl-interpol cl-ppcre cl-unicode flexi-streams iterate lisp-unit2 named-readtables) VERSION 20180831-git SIBLINGS (cl-csv-clsql cl-csv-data-table) PARASITES - (cl-csv/speed-test cl-csv/test)) */ + (cl-csv/test)) */ diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-dbi.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-dbi.nix index f495d7b4c82..9c258021008 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-dbi.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-dbi.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-dbi''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-emb.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-emb.nix index d6fb845aa38..1510495a4cb 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-emb.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-emb.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-emb''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fad.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fad.nix index f92d9c464cf..d032b4768dd 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fad.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fad.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-fad''; version = ''20180430-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fuse-meta-fs.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fuse-meta-fs.nix index 399abebe6e7..6a40d0fa8c9 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fuse-meta-fs.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fuse-meta-fs.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-fuse-meta-fs''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fuse.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fuse.nix index b0e6159e626..de71e2e299a 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fuse.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-fuse.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-fuse''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-hooks.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-hooks.nix new file mode 100644 index 00000000000..72eafacb190 --- /dev/null +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-hooks.nix @@ -0,0 +1,34 @@ +args @ { fetchurl, ... }: +rec { + baseName = ''cl-hooks''; + version = ''architecture.hooks-20181210-git''; + + parasites = [ "cl-hooks/test" ]; + + description = ''This system provides the hooks extension point +mechanism (as known, e.g., from GNU Emacs).''; + + deps = [ args."alexandria" args."anaphora" args."closer-mop" args."fiveam" args."let-plus" args."trivial-garbage" ]; + + src = fetchurl { + url = ''http://beta.quicklisp.org/archive/architecture.hooks/2018-12-10/architecture.hooks-20181210-git.tgz''; + sha256 = ''04l8rjmgsd7i580rpm1wndz1jcvfqrmwllnkh3h7als3azi3q2ns''; + }; + + packageName = "cl-hooks"; + + asdFilesToKeep = ["cl-hooks.asd"]; + overrides = x: x; +} +/* (SYSTEM cl-hooks DESCRIPTION This system provides the hooks extension point +mechanism (as known, e.g., from GNU Emacs). + SHA256 04l8rjmgsd7i580rpm1wndz1jcvfqrmwllnkh3h7als3azi3q2ns URL + http://beta.quicklisp.org/archive/architecture.hooks/2018-12-10/architecture.hooks-20181210-git.tgz + MD5 698bdb1309cae19fb8f0e1e425ba4cd9 NAME cl-hooks FILENAME cl-hooks DEPS + ((NAME alexandria FILENAME alexandria) (NAME anaphora FILENAME anaphora) + (NAME closer-mop FILENAME closer-mop) (NAME fiveam FILENAME fiveam) + (NAME let-plus FILENAME let-plus) + (NAME trivial-garbage FILENAME trivial-garbage)) + DEPENDENCIES + (alexandria anaphora closer-mop fiveam let-plus trivial-garbage) VERSION + architecture.hooks-20181210-git SIBLINGS NIL PARASITES (cl-hooks/test)) */ diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-html-parse.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-html-parse.nix index 365360ba896..61a35f2b58c 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-html-parse.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-html-parse.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-html-parse''; version = ''20161031-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-html5-parser.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-html5-parser.nix index 7f20a171734..dde2cc31dd0 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-html5-parser.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-html5-parser.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-html5-parser''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-interpol.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-interpol.nix index feefecf75bb..b0be8c775a3 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-interpol.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-interpol.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-interpol''; version = ''20180711-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-jpeg.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-jpeg.nix index 66fb98ee0de..713aff0ea40 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-jpeg.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-jpeg.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-jpeg''; version = ''20170630-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-json.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-json.nix index 9faf2b1b388..d8bc535f355 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-json.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-json.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-json''; version = ''20141217-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-l10n-cldr.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-l10n-cldr.nix index 0c276740f90..dfabda0428f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-l10n-cldr.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-l10n-cldr.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-l10n-cldr''; version = ''20120909-darcs''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-l10n.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-l10n.nix index 1a13df67928..f37e653bc56 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-l10n.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-l10n.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-l10n''; version = ''20161204-darcs''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-libuv.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-libuv.nix index cbbc8ccb0b2..36d15673427 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-libuv.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-libuv.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-libuv''; version = ''20190107-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-markup.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-markup.nix index 7b5b7fb3e1a..b1916c27bfd 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-markup.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-markup.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-markup''; version = ''20131003-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-mysql.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-mysql.nix index c8460177a24..1590f2536e3 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-mysql.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-mysql.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-mysql''; version = ''20171019-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-paths-ttf.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-paths-ttf.nix index c096c4d2728..cfca59e3b50 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-paths-ttf.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-paths-ttf.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-paths-ttf''; version = ''cl-vectors-20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-paths.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-paths.nix index 0f0a22c97e0..e8034b11c23 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-paths.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-paths.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-paths''; version = ''cl-vectors-20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-pdf.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-pdf.nix index d54c37c2de1..babdf04e3ec 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-pdf.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-pdf.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-pdf''; version = ''20170830-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-postgres.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-postgres.nix index 952a4394ae7..efd8197bbfb 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-postgres.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-postgres.nix @@ -1,13 +1,13 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-postgres''; version = ''postmodern-20190521-git''; - parasites = [ "cl-postgres/simple-date-tests" "cl-postgres/tests" ]; + parasites = [ "cl-postgres/tests" ]; description = ''Low-level client library for PostgreSQL''; - deps = [ args."fiveam" args."md5" args."simple-date_slash_postgres-glue" args."split-sequence" args."usocket" ]; + deps = [ args."fiveam" args."md5" args."split-sequence" args."usocket" ]; src = fetchurl { url = ''http://beta.quicklisp.org/archive/postmodern/2019-05-21/postmodern-20190521-git.tgz''; @@ -25,9 +25,8 @@ args @ { fetchurl, ... }: MD5 102567f386757cd52aca500c0c348d90 NAME cl-postgres FILENAME cl-postgres DEPS ((NAME fiveam FILENAME fiveam) (NAME md5 FILENAME md5) - (NAME simple-date/postgres-glue FILENAME simple-date_slash_postgres-glue) (NAME split-sequence FILENAME split-sequence) (NAME usocket FILENAME usocket)) - DEPENDENCIES (fiveam md5 simple-date/postgres-glue split-sequence usocket) - VERSION postmodern-20190521-git SIBLINGS (postmodern s-sql simple-date) - PARASITES (cl-postgres/simple-date-tests cl-postgres/tests)) */ + DEPENDENCIES (fiveam md5 split-sequence usocket) VERSION + postmodern-20190521-git SIBLINGS (postmodern s-sql simple-date) PARASITES + (cl-postgres/tests)) */ diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre-template.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre-template.nix index c10a1500563..4c97f03870f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre-template.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre-template.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-ppcre-template''; version = ''cl-unification-20190107-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre-unicode.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre-unicode.nix index 20433b51f87..27887f12497 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre-unicode.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre-unicode.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-ppcre-unicode''; version = ''cl-ppcre-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre.nix index cfea494fc4b..8bb8fb2478d 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-ppcre.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-ppcre''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-prevalence.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-prevalence.nix new file mode 100644 index 00000000000..46805421fa7 --- /dev/null +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-prevalence.nix @@ -0,0 +1,27 @@ +args @ { fetchurl, ... }: +rec { + baseName = ''cl-prevalence''; + version = ''20190521-git''; + + description = ''Common Lisp Prevalence Package''; + + deps = [ args."s-sysdeps" args."s-xml" ]; + + src = fetchurl { + url = ''http://beta.quicklisp.org/archive/cl-prevalence/2019-05-21/cl-prevalence-20190521-git.tgz''; + sha256 = ''16j7ccpjdidz1p6mgib06viy966ckxzgkd6xcvg96xmr4hkksljf''; + }; + + packageName = "cl-prevalence"; + + asdFilesToKeep = ["cl-prevalence.asd"]; + overrides = x: x; +} +/* (SYSTEM cl-prevalence DESCRIPTION Common Lisp Prevalence Package SHA256 + 16j7ccpjdidz1p6mgib06viy966ckxzgkd6xcvg96xmr4hkksljf URL + http://beta.quicklisp.org/archive/cl-prevalence/2019-05-21/cl-prevalence-20190521-git.tgz + MD5 6c81a4fe41bd63eef9ff8f4cc41aa6b9 NAME cl-prevalence FILENAME + cl-prevalence DEPS + ((NAME s-sysdeps FILENAME s-sysdeps) (NAME s-xml FILENAME s-xml)) + DEPENDENCIES (s-sysdeps s-xml) VERSION 20190521-git SIBLINGS + (cl-prevalence-test) PARASITES NIL) */ diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-project.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-project.nix index 1e13378b38c..496a0bd4e56 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-project.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-project.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-project''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-protobufs.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-protobufs.nix index 81f1455714d..046f910a866 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-protobufs.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-protobufs.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-protobufs''; version = ''20180328-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-reexport.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-reexport.nix index c16486754db..916af5b2972 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-reexport.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-reexport.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-reexport''; version = ''20150709-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-smtp.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-smtp.nix index 16cc2309e64..0d58e11b4d2 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-smtp.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-smtp.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-smtp''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-store.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-store.nix index b639681fd30..2aff988dfd2 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-store.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-store.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-store''; version = ''20180328-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-annot.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-annot.nix index 4639a66da78..add200b09a1 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-annot.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-annot.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-syntax-annot''; version = ''cl-syntax-20150407-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-anonfun.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-anonfun.nix index cea65514d4b..c19a47df6c2 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-anonfun.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-anonfun.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-syntax-anonfun''; version = ''cl-syntax-20150407-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-markup.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-markup.nix index fa08d3b114c..cded8dc2d06 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-markup.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax-markup.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-syntax-markup''; version = ''cl-syntax-20150407-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax.nix index 2715cfdf8da..353c8210885 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-syntax.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-syntax''; version = ''20150407-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-test-more.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-test-more.nix index 81b9153fff0..56d9b363268 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-test-more.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-test-more.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-test-more''; version = ''prove-20171130-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-typesetting.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-typesetting.nix index 38237f6f3b7..358666877a6 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-typesetting.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-typesetting.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-typesetting''; version = ''20170830-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-unicode.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-unicode.nix index 77e2ba0036c..7849acb57c0 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-unicode.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-unicode.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-unicode''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-unification.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-unification.nix index bb8a05b0d6d..dbdd53a9346 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-unification.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-unification.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-unification''; version = ''20190107-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-utilities.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-utilities.nix index 4ccd82f2ccc..ba8be7927f0 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-utilities.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-utilities.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-utilities''; version = ''1.2.4''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-vectors.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-vectors.nix index dbdd6d6b617..f44bd0f22e0 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-vectors.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-vectors.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-vectors''; version = ''20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-who.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-who.nix index 19af99826fc..3be45384d41 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-who.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl-who.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl-who''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl_plus_ssl.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl_plus_ssl.nix index 5294613b978..f344dbfa2fe 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl_plus_ssl.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cl_plus_ssl.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cl_plus_ssl''; version = ''cl+ssl-20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-handler-hunchentoot.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-handler-hunchentoot.nix index 4b0a4cd176d..6cfd7842409 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-handler-hunchentoot.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-handler-hunchentoot.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clack-handler-hunchentoot''; version = ''clack-20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-socket.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-socket.nix index 4dcda09baea..da7f15e03c1 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-socket.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-socket.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clack-socket''; version = ''clack-20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-test.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-test.nix index d8c8acf83aa..1792d79f1d8 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-test.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-test.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clack-test''; version = ''clack-20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-v1-compat.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-v1-compat.nix index 8f2a19519ac..6162f8ffe10 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-v1-compat.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack-v1-compat.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clack-v1-compat''; version = ''clack-20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack.nix index ab615e5dc83..1813844e85f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clack.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clack''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closer-mop.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closer-mop.nix index abbefea70b4..ca03207fabd 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closer-mop.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closer-mop.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''closer-mop''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closure-common.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closure-common.nix index 3448f70f5ad..c1b36b6b653 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closure-common.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closure-common.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''closure-common''; version = ''20181018-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closure-html.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closure-html.nix index 7df1e251ece..7d9d8730f29 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closure-html.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/closure-html.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''closure-html''; version = ''20180711-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-postgresql-socket.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-postgresql-socket.nix index 8f6760b9624..9f4abb8e475 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-postgresql-socket.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-postgresql-socket.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clsql-postgresql-socket''; version = ''clsql-20160208-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-postgresql.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-postgresql.nix index e90cda0f6d9..add3a15d27c 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-postgresql.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-postgresql.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clsql-postgresql''; version = ''clsql-20160208-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-sqlite3.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-sqlite3.nix index 254a22fbafa..653adb3d12b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-sqlite3.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-sqlite3.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clsql-sqlite3''; version = ''clsql-20160208-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-uffi.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-uffi.nix index c664c23dd1c..8243a337585 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-uffi.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql-uffi.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clsql-uffi''; version = ''clsql-20160208-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql.nix index e37eecabbca..74b8442c0c9 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clsql.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clsql''; version = ''20160208-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clss.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clss.nix index 458cb51e6ad..2f07706a912 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clss.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clss.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clss''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clx-truetype.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clx-truetype.nix index ba45b353057..303d43a964e 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clx-truetype.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clx-truetype.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clx-truetype''; version = ''20160825-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clx.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clx.nix index 0fe6e115f3f..6b99f99b7ab 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clx.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/clx.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''clx''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/collectors.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/collectors.nix index 0a4a40b0684..7375b8dd709 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/collectors.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/collectors.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''collectors''; version = ''20161204-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/command-line-arguments.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/command-line-arguments.nix index 25eaf1fa6fa..1d81acba2dc 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/command-line-arguments.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/command-line-arguments.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''command-line-arguments''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-lite.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-lite.nix index c1c209093d0..38ea5aa106c 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-lite.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-lite.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''css-lite''; version = ''20120407-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors-simple-tree.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors-simple-tree.nix index a0a6d2d7c2e..98c565648dc 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors-simple-tree.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors-simple-tree.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''css-selectors-simple-tree''; version = ''css-selectors-20160628-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors-stp.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors-stp.nix index 1aff3a5826c..fcdb69f3c35 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors-stp.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors-stp.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''css-selectors-stp''; version = ''css-selectors-20160628-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors.nix index 268eea723dd..aa523d6f838 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/css-selectors.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''css-selectors''; version = ''20160628-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cxml-stp.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cxml-stp.nix index 9bef7fb990c..ebae32962f1 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cxml-stp.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cxml-stp.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cxml-stp''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cxml.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cxml.nix index 667cf93d44f..68a019fa027 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cxml.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/cxml.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''cxml''; version = ''20181018-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-mysql.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-mysql.nix index 9d0f2549f50..173a31e9f31 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-mysql.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-mysql.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''dbd-mysql''; version = ''cl-dbi-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-postgres.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-postgres.nix index 9f3046eb51f..6668cd4f762 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-postgres.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-postgres.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''dbd-postgres''; version = ''cl-dbi-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-sqlite3.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-sqlite3.nix index 7bc556eaff2..9b6fde0ea94 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-sqlite3.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbd-sqlite3.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''dbd-sqlite3''; version = ''cl-dbi-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbi.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbi.nix index 2e4006dbf3f..26c5306c06a 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbi.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dbi.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''dbi''; version = ''cl-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dexador.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dexador.nix index 5a84a62ee83..4d5bc0a2217 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dexador.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/dexador.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''dexador''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/do-urlencode.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/do-urlencode.nix index 2896ec154ec..3dbacdf0f81 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/do-urlencode.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/do-urlencode.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''do-urlencode''; version = ''20181018-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/documentation-utils.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/documentation-utils.nix index a4196b2d394..7c25ed9a037 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/documentation-utils.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/documentation-utils.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''documentation-utils''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/drakma.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/drakma.nix index a3d063ec9bd..aa97f8f7cbe 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/drakma.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/drakma.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''drakma''; version = ''v2.0.5''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/esrap-peg.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/esrap-peg.nix index e94ed40f9e1..d68fd839bd1 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/esrap-peg.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/esrap-peg.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''esrap-peg''; version = ''20170403-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/esrap.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/esrap.nix index 3ab6e03ab65..a024ad3907a 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/esrap.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/esrap.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''esrap''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/external-program.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/external-program.nix index adecbd142d3..4fd75234253 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/external-program.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/external-program.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''external-program''; version = ''20190307-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fast-http.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fast-http.nix index 8d43fa3362c..82c8603d4a4 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fast-http.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fast-http.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''fast-http''; version = ''20180831-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fast-io.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fast-io.nix index ea006556876..89bed83acd5 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fast-io.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fast-io.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''fast-io''; version = ''20171023-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fiasco.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fiasco.nix index 8b5fe1f7de6..39f2af5430f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fiasco.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fiasco.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''fiasco''; version = ''20190307-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fiveam.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fiveam.nix index 8ebd9f60381..be9648a0da4 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fiveam.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fiveam.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''fiveam''; version = ''v1.4.1''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/flexi-streams.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/flexi-streams.nix index 4d5524d9d18..d9b25bebddc 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/flexi-streams.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/flexi-streams.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''flexi-streams''; version = ''20190107-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/form-fiddle.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/form-fiddle.nix index a5fe52ec027..90ce8b83dde 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/form-fiddle.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/form-fiddle.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''form-fiddle''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fset.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fset.nix index 893cec1c72a..d901df215a6 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fset.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/fset.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''fset''; version = ''20171019-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/http-body.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/http-body.nix index 775e30e711a..3754829ac37 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/http-body.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/http-body.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''http-body''; version = ''20181210-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hu_dot_dwim_dot_asdf.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hu_dot_dwim_dot_asdf.nix index 6fc92c08882..1f2700608e3 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hu_dot_dwim_dot_asdf.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hu_dot_dwim_dot_asdf.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''hu_dot_dwim_dot_asdf''; version = ''20190521-darcs''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hu_dot_dwim_dot_stefil.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hu_dot_dwim_dot_stefil.nix index 98a77dad63c..51f4a2d0698 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hu_dot_dwim_dot_stefil.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hu_dot_dwim_dot_stefil.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''hu_dot_dwim_dot_stefil''; version = ''20170403-darcs''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hunchentoot.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hunchentoot.nix index 11d0831c96f..e822fb48715 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hunchentoot.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/hunchentoot.nix @@ -1,16 +1,16 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''hunchentoot''; version = ''v1.2.38''; - parasites = [ "hunchentoot-dev" "hunchentoot-test" ]; + parasites = [ "hunchentoot-test" ]; description = ''Hunchentoot is a HTTP server based on USOCKET and BORDEAUX-THREADS. It supports HTTP 1.1, serves static files, has a simple framework for user-defined handlers and can be extended through subclassing.''; - deps = [ args."alexandria" args."babel" args."bordeaux-threads" args."cffi" args."chunga" args."cl_plus_ssl" args."cl-base64" args."cl-fad" args."cl-ppcre" args."cl-who" args."cxml-stp" args."drakma" args."flexi-streams" args."md5" args."rfc2388" args."split-sequence" args."swank" args."trivial-backtrace" args."trivial-features" args."trivial-garbage" args."trivial-gray-streams" args."usocket" args."xpath" ]; + deps = [ args."alexandria" args."babel" args."bordeaux-threads" args."cffi" args."chunga" args."cl_plus_ssl" args."cl-base64" args."cl-fad" args."cl-ppcre" args."cl-who" args."drakma" args."flexi-streams" args."md5" args."rfc2388" args."split-sequence" args."trivial-backtrace" args."trivial-features" args."trivial-garbage" args."trivial-gray-streams" args."usocket" ]; src = fetchurl { url = ''http://beta.quicklisp.org/archive/hunchentoot/2017-12-27/hunchentoot-v1.2.38.tgz''; @@ -36,18 +36,18 @@ args @ { fetchurl, ... }: (NAME cffi FILENAME cffi) (NAME chunga FILENAME chunga) (NAME cl+ssl FILENAME cl_plus_ssl) (NAME cl-base64 FILENAME cl-base64) (NAME cl-fad FILENAME cl-fad) (NAME cl-ppcre FILENAME cl-ppcre) - (NAME cl-who FILENAME cl-who) (NAME cxml-stp FILENAME cxml-stp) - (NAME drakma FILENAME drakma) (NAME flexi-streams FILENAME flexi-streams) - (NAME md5 FILENAME md5) (NAME rfc2388 FILENAME rfc2388) - (NAME split-sequence FILENAME split-sequence) (NAME swank FILENAME swank) + (NAME cl-who FILENAME cl-who) (NAME drakma FILENAME drakma) + (NAME flexi-streams FILENAME flexi-streams) (NAME md5 FILENAME md5) + (NAME rfc2388 FILENAME rfc2388) + (NAME split-sequence FILENAME split-sequence) (NAME trivial-backtrace FILENAME trivial-backtrace) (NAME trivial-features FILENAME trivial-features) (NAME trivial-garbage FILENAME trivial-garbage) (NAME trivial-gray-streams FILENAME trivial-gray-streams) - (NAME usocket FILENAME usocket) (NAME xpath FILENAME xpath)) + (NAME usocket FILENAME usocket)) DEPENDENCIES (alexandria babel bordeaux-threads cffi chunga cl+ssl cl-base64 cl-fad - cl-ppcre cl-who cxml-stp drakma flexi-streams md5 rfc2388 split-sequence - swank trivial-backtrace trivial-features trivial-garbage - trivial-gray-streams usocket xpath) - VERSION v1.2.38 SIBLINGS NIL PARASITES (hunchentoot-dev hunchentoot-test)) */ + cl-ppcre cl-who drakma flexi-streams md5 rfc2388 split-sequence + trivial-backtrace trivial-features trivial-garbage trivial-gray-streams + usocket) + VERSION v1.2.38 SIBLINGS NIL PARASITES (hunchentoot-test)) */ diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/idna.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/idna.nix index 9dfeb3f1206..1b948bcf325 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/idna.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/idna.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''idna''; version = ''20120107-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ieee-floats.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ieee-floats.nix index 675521dcde9..4211dfbc919 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ieee-floats.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ieee-floats.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''ieee-floats''; version = ''20170830-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib.nix index bd0e896e143..c4ae44cd676 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''iolib''; version = ''v0.8.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_asdf.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_asdf.nix index d5e072e5f6a..195b52c08c4 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_asdf.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_asdf.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''iolib_dot_asdf''; version = ''iolib-v0.8.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_base.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_base.nix index 445da3b1b17..aa650edde02 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_base.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_base.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''iolib_dot_base''; version = ''iolib-v0.8.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_common-lisp.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_common-lisp.nix index 415e7a59820..c0ec72d4869 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_common-lisp.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_common-lisp.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''iolib_dot_common-lisp''; version = ''iolib-v0.8.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_conf.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_conf.nix index 58fd95012e8..4ba0cfa1ce2 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_conf.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_conf.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''iolib_dot_conf''; version = ''iolib-v0.8.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_grovel.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_grovel.nix index 009e0e27866..7a1a12243fe 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_grovel.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iolib_dot_grovel.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''iolib_dot_grovel''; version = ''iolib-v0.8.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ironclad.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ironclad.nix index 727be89bf44..2c56b5964f9 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ironclad.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ironclad.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''ironclad''; version = ''v0.46''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iterate.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iterate.nix index 9a91033bb8b..f276ec72736 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iterate.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/iterate.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''iterate''; version = ''20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/jonathan.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/jonathan.nix index 790638f5954..702eb2d05b5 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/jonathan.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/jonathan.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''jonathan''; version = ''20190202-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/kmrcl.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/kmrcl.nix index 88ededf0e73..e44004a9cf7 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/kmrcl.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/kmrcl.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''kmrcl''; version = ''20150923-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-component.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-component.nix index c94277bb211..e4b89c382dc 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-component.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-component.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lack-component''; version = ''lack-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-middleware-backtrace.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-middleware-backtrace.nix index 3fc76c73576..0d50e58ff55 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-middleware-backtrace.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-middleware-backtrace.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lack-middleware-backtrace''; version = ''lack-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-util.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-util.nix index 221ac216cf8..cadf617bbdf 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-util.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack-util.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lack-util''; version = ''lack-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack.nix index 7740e73c0e5..08095979989 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lack.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lack''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/let-plus.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/let-plus.nix index 91e28f402b2..1f6a0709b0f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/let-plus.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/let-plus.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''let-plus''; version = ''20171130-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lev.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lev.nix index 8d52d518e11..9dd6baad1bd 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lev.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lev.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lev''; version = ''20150505-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-client.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-client.nix index 6ff0fdb4c82..10977c16f83 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-client.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-client.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lfarm-client''; version = ''lfarm-20150608-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-common.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-common.nix index 4be971bef06..4a5fe87982a 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-common.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-common.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lfarm-common''; version = ''lfarm-20150608-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-server.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-server.nix index 44c8cc01c95..354d6c31507 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-server.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-server.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lfarm-server''; version = ''lfarm-20150608-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-ssl.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-ssl.nix index 3d917ff2817..348c71fe966 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-ssl.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lfarm-ssl.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lfarm-ssl''; version = ''lfarm-20150608-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lift.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lift.nix index 84f0ca863ec..1edb65596a6 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lift.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lift.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lift''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lisp-namespace.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lisp-namespace.nix index 802b9eb2b7a..7f88beb974b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lisp-namespace.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lisp-namespace.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lisp-namespace''; version = ''20171130-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lisp-unit2.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lisp-unit2.nix index c623d368a04..8d21f88cbf8 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lisp-unit2.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lisp-unit2.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lisp-unit2''; version = ''20180131-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/local-time.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/local-time.nix index 4695c3dfe0e..5ffa27fcca5 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/local-time.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/local-time.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''local-time''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lparallel.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lparallel.nix index a4011b78d4f..1a6f217a2f9 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lparallel.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lparallel.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lparallel''; version = ''20160825-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lquery.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lquery.nix index 5dbd186e62e..f64e9ee6a33 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lquery.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/lquery.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''lquery''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/map-set.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/map-set.nix index c6445010f54..8187c99f94a 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/map-set.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/map-set.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''map-set''; version = ''20190307-hg''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/marshal.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/marshal.nix index 531cc1adc1a..4f6842606b4 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/marshal.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/marshal.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''marshal''; version = ''cl-20180328-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/md5.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/md5.nix index b34fdd83ca3..953dd0a58a4 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/md5.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/md5.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''md5''; version = ''20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/metabang-bind.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/metabang-bind.nix index cf2c3a1c777..d72e0839d1e 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/metabang-bind.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/metabang-bind.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''metabang-bind''; version = ''20171130-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/misc-extensions.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/misc-extensions.nix index 13d0b971d44..16609db5c22 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/misc-extensions.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/misc-extensions.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''misc-extensions''; version = ''20150608-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/mt19937.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/mt19937.nix index f1fe0e3c279..a8cfc070bf9 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/mt19937.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/mt19937.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''mt19937''; version = ''1.1.1''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/myway.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/myway.nix index 16b1f22ceeb..068d0eba69d 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/myway.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/myway.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''myway''; version = ''20181018-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/named-readtables.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/named-readtables.nix index c5f1379f421..82d06b1c93b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/named-readtables.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/named-readtables.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''named-readtables''; version = ''20180131-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/net_dot_didierverna_dot_asdf-flv.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/net_dot_didierverna_dot_asdf-flv.nix index dcc49aa7993..4e7c84566a0 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/net_dot_didierverna_dot_asdf-flv.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/net_dot_didierverna_dot_asdf-flv.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''net_dot_didierverna_dot_asdf-flv''; version = ''asdf-flv-version-2.1''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/nibbles.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/nibbles.nix index 0a3dba6b824..ea6adac9e9f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/nibbles.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/nibbles.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''nibbles''; version = ''20180831-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/optima.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/optima.nix index b5b6ace2ae9..07b86f58fe7 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/optima.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/optima.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''optima''; version = ''20150709-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/parenscript.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/parenscript.nix index 2bf30650785..bf6216dcadd 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/parenscript.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/parenscript.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''parenscript''; version = ''Parenscript-2.7.1''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/parse-number.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/parse-number.nix index 72bef6f60bf..e636df0805e 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/parse-number.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/parse-number.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''parse-number''; version = ''v1.7''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/pcall-queue.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/pcall-queue.nix index 0c34654b1ae..fe9ccae2886 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/pcall-queue.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/pcall-queue.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''pcall-queue''; version = ''pcall-0.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/pcall.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/pcall.nix index c041d1778fa..99e9517e50e 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/pcall.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/pcall.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''pcall''; version = ''0.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/plump.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/plump.nix index 53af356f05a..8757c704c8f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/plump.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/plump.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''plump''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/proc-parse.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/proc-parse.nix index 3afcbf98d50..8ffcbc784ff 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/proc-parse.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/proc-parse.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''proc-parse''; version = ''20160318-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/prove.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/prove.nix index 92ac9e33d49..065c81e11a6 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/prove.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/prove.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''prove''; version = ''20171130-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ptester.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ptester.nix index b4957d68e88..ffa2e595c26 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ptester.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/ptester.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''ptester''; version = ''20160929-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/puri.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/puri.nix index 84b252f93a5..4f694678393 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/puri.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/puri.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''puri''; version = ''20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/query-fs.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/query-fs.nix index dbeb5f1d08e..3666dda9bf8 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/query-fs.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/query-fs.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''query-fs''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/quri.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/quri.nix index fed62457891..f6022cb2a1f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/quri.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/quri.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''quri''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/rfc2388.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/rfc2388.nix index e6c1477298d..25d535176a6 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/rfc2388.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/rfc2388.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''rfc2388''; version = ''20180831-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/rt.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/rt.nix index 14b327a9736..d5be4be7daf 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/rt.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/rt.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''rt''; version = ''20101006-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/s-sysdeps.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/s-sysdeps.nix new file mode 100644 index 00000000000..1c28ec6e2af --- /dev/null +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/s-sysdeps.nix @@ -0,0 +1,25 @@ +args @ { fetchurl, ... }: +rec { + baseName = ''s-sysdeps''; + version = ''20130128-git''; + + description = ''An abstraction layer over platform dependent functionality''; + + deps = [ ]; + + src = fetchurl { + url = ''http://beta.quicklisp.org/archive/s-sysdeps/2013-01-28/s-sysdeps-20130128-git.tgz''; + sha256 = ''048q0mzypnm284bvv7036d4z7bv7rdcqks5l372s74kq279l2y00''; + }; + + packageName = "s-sysdeps"; + + asdFilesToKeep = ["s-sysdeps.asd"]; + overrides = x: x; +} +/* (SYSTEM s-sysdeps DESCRIPTION + An abstraction layer over platform dependent functionality SHA256 + 048q0mzypnm284bvv7036d4z7bv7rdcqks5l372s74kq279l2y00 URL + http://beta.quicklisp.org/archive/s-sysdeps/2013-01-28/s-sysdeps-20130128-git.tgz + MD5 2fe61fadafd62ef9597e17b4783889ef NAME s-sysdeps FILENAME s-sysdeps DEPS + NIL DEPENDENCIES NIL VERSION 20130128-git SIBLINGS NIL PARASITES NIL) */ diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/s-xml.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/s-xml.nix new file mode 100644 index 00000000000..ec12dde5223 --- /dev/null +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/s-xml.nix @@ -0,0 +1,27 @@ +args @ { fetchurl, ... }: +rec { + baseName = ''s-xml''; + version = ''20150608-git''; + + parasites = [ "s-xml.examples" "s-xml.test" ]; + + description = ''Simple Common Lisp XML Parser''; + + deps = [ ]; + + src = fetchurl { + url = ''http://beta.quicklisp.org/archive/s-xml/2015-06-08/s-xml-20150608-git.tgz''; + sha256 = ''0cy36wqzasqma4maw9djq1vdwsp5hxq8svlbnhbv9sq9zzys5viq''; + }; + + packageName = "s-xml"; + + asdFilesToKeep = ["s-xml.asd"]; + overrides = x: x; +} +/* (SYSTEM s-xml DESCRIPTION Simple Common Lisp XML Parser SHA256 + 0cy36wqzasqma4maw9djq1vdwsp5hxq8svlbnhbv9sq9zzys5viq URL + http://beta.quicklisp.org/archive/s-xml/2015-06-08/s-xml-20150608-git.tgz + MD5 9c31c80f0661777c493fab683f776716 NAME s-xml FILENAME s-xml DEPS NIL + DEPENDENCIES NIL VERSION 20150608-git SIBLINGS NIL PARASITES + (s-xml.examples s-xml.test)) */ diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/salza2.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/salza2.nix index 26c616ec392..9056cfbdcca 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/salza2.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/salza2.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''salza2''; version = ''2.0.9''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/simple-date.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/simple-date.nix index 7b5f33e7bc2..9f125cfd52a 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/simple-date.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/simple-date.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''simple-date''; version = ''postmodern-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/smart-buffer.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/smart-buffer.nix index a6fc781c369..63adab55741 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/smart-buffer.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/smart-buffer.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''smart-buffer''; version = ''20160628-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/split-sequence.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/split-sequence.nix index be9f5998642..a22076facd3 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/split-sequence.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/split-sequence.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''split-sequence''; version = ''v2.0.0''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/sqlite.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/sqlite.nix index cd018a4b997..57dec7c93ca 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/sqlite.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/sqlite.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''sqlite''; version = ''cl-20130615-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/static-vectors.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/static-vectors.nix index 0386a674916..1cd4e4c4402 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/static-vectors.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/static-vectors.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''static-vectors''; version = ''v1.8.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/stefil.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/stefil.nix index 7c769e614c1..df63a5c9836 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/stefil.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/stefil.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''stefil''; version = ''20181210-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/string-case.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/string-case.nix index 2b38115aabd..17a56c09b7e 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/string-case.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/string-case.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''string-case''; version = ''20180711-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/stumpwm.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/stumpwm.nix index fa0a2ab7944..e89eb1971d6 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/stumpwm.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/stumpwm.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''stumpwm''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/swank.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/swank.nix index ec14ffffcba..50b815425dc 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/swank.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/swank.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''swank''; version = ''slime-v2.24''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/swap-bytes.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/swap-bytes.nix index 89003686df3..f0df3b84f15 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/swap-bytes.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/swap-bytes.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''swap-bytes''; version = ''v1.1''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/symbol-munger.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/symbol-munger.nix index 9ec472fa08a..4bae3cc1cee 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/symbol-munger.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/symbol-munger.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''symbol-munger''; version = ''20150407-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-backtrace.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-backtrace.nix index d10b68b2d2e..683f87357f5 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-backtrace.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-backtrace.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''trivial-backtrace''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-features.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-features.nix index 8db7c603ec8..82d0e4513ab 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-features.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-features.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''trivial-features''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-garbage.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-garbage.nix index 4d26aa43519..c629bb3548d 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-garbage.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-garbage.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''trivial-garbage''; version = ''20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-gray-streams.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-gray-streams.nix index 7826a937992..2aee236ac0c 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-gray-streams.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-gray-streams.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''trivial-gray-streams''; version = ''20181018-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-indent.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-indent.nix index 002c75e1021..33eedbaa818 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-indent.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-indent.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''trivial-indent''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-mimes.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-mimes.nix index 960778dc17c..f02d9e0dc2c 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-mimes.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-mimes.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''trivial-mimes''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-types.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-types.nix index 6bfaac06f2d..8cc04c2c64a 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-types.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-types.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''trivial-types''; version = ''20120407-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-utf-8.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-utf-8.nix index b4282ec33ce..00a484f11bf 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-utf-8.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/trivial-utf-8.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''trivial-utf-8''; version = ''20111001-darcs''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/uffi.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/uffi.nix index f5e8677f508..1986f7c88f7 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/uffi.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/uffi.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''uffi''; version = ''20180228-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/uiop.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/uiop.nix index ae9c1c6d5ac..18f1b74edbd 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/uiop.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/uiop.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''uiop''; version = ''3.3.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/unit-test.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/unit-test.nix index 6a877e5a964..6c456496732 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/unit-test.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/unit-test.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''unit-test''; version = ''20120520-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/usocket.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/usocket.nix index fccce79eb84..c3928cf0e4c 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/usocket.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/usocket.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''usocket''; version = ''0.8.2''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/vom.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/vom.nix index 2fb1cdacfac..6a4751f799e 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/vom.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/vom.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''vom''; version = ''20160825-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/woo.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/woo.nix index 7825678c77c..59a41a7cf9a 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/woo.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/woo.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''woo''; version = ''20190710-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/wookie.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/wookie.nix index 93bcb2072a7..f717441068b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/wookie.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/wookie.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''wookie''; version = ''20181018-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xembed.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xembed.nix index db03e57a663..9854567fd5b 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xembed.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xembed.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''xembed''; version = ''clx-20190307-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xkeyboard.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xkeyboard.nix index 71cd9cfbde6..4bfc0678f0f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xkeyboard.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xkeyboard.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''xkeyboard''; version = ''clx-20120811-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xmls.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xmls.nix index a9a1cfc7ec0..c02e6e24818 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xmls.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xmls.nix @@ -1,13 +1,13 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''xmls''; version = ''3.0.2''; - parasites = [ "xmls/octets" "xmls/test" "xmls/unit-test" ]; + parasites = [ "xmls/test" "xmls/unit-test" ]; description = ''System lacks description''; - deps = [ args."cl-ppcre" args."fiveam" args."flexi-streams" ]; + deps = [ args."fiveam" ]; src = fetchurl { url = ''http://beta.quicklisp.org/archive/xmls/2018-04-30/xmls-3.0.2.tgz''; @@ -23,7 +23,5 @@ args @ { fetchurl, ... }: 1r7mvw62zjcg45j3hm8jlbiisad2b415pghn6qcmhl03dmgp7kgi URL http://beta.quicklisp.org/archive/xmls/2018-04-30/xmls-3.0.2.tgz MD5 2462bab4a5d74e87ef7bdef41cd06dc8 NAME xmls FILENAME xmls DEPS - ((NAME cl-ppcre FILENAME cl-ppcre) (NAME fiveam FILENAME fiveam) - (NAME flexi-streams FILENAME flexi-streams)) - DEPENDENCIES (cl-ppcre fiveam flexi-streams) VERSION 3.0.2 SIBLINGS NIL - PARASITES (xmls/octets xmls/test xmls/unit-test)) */ + ((NAME fiveam FILENAME fiveam)) DEPENDENCIES (fiveam) VERSION 3.0.2 + SIBLINGS NIL PARASITES (xmls/test xmls/unit-test)) */ diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xpath.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xpath.nix index e2a5494c5b4..3e14a5ba9f0 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xpath.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xpath.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''xpath''; version = ''plexippus-20190521-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xsubseq.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xsubseq.nix index 92f661f8531..b9ab71744c3 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xsubseq.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/xsubseq.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''xsubseq''; version = ''20170830-git''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/yacc.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/yacc.nix index cf8f3a8ac0f..c7031f4aa3f 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/yacc.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/yacc.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''yacc''; version = ''cl-20101006-darcs''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/yason.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/yason.nix index ca74dd0e500..e14a540a178 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/yason.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/yason.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''yason''; version = ''v0.7.6''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/zpb-ttf.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/zpb-ttf.nix index 3aa695caed6..74e5d7e97e9 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/zpb-ttf.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-output/zpb-ttf.nix @@ -1,5 +1,5 @@ args @ { fetchurl, ... }: -{ +rec { baseName = ''zpb-ttf''; version = ''1.0.3''; diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-systems.txt b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-systems.txt index a4dee0bce57..ce73d3baec4 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-systems.txt +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix-systems.txt @@ -1,3 +1,4 @@ +cl-prevalence 3bmd alexandria array-utils @@ -26,6 +27,7 @@ cl-dbi cl-emb cl-fuse cl-fuse-meta-fs +cl-hooks cl-html-parse cl-html5-parser cl-jpeg diff --git a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix.nix b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix.nix index d430f72353a..db725d415c5 100644 --- a/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix.nix +++ b/nixpkgs/pkgs/development/lisp-modules/quicklisp-to-nix.nix @@ -6,9 +6,6 @@ let quicklisp-to-nix-packages = rec { buildLispPackage = callPackage ./define-package.nix; qlOverrides = callPackage ./quicklisp-to-nix-overrides.nix {}; - "simple-date_slash_postgres-glue" = quicklisp-to-nix-packages."simple-date"; - - "xpath_slash_test" = quicklisp-to-nix-packages."xpath"; @@ -255,7 +252,6 @@ let quicklisp-to-nix-packages = rec { inherit fetchurl; "fiveam" = quicklisp-to-nix-packages."fiveam"; "md5" = quicklisp-to-nix-packages."md5"; - "simple-date_slash_postgres-glue" = quicklisp-to-nix-packages."simple-date_slash_postgres-glue"; "split-sequence" = quicklisp-to-nix-packages."split-sequence"; "usocket" = quicklisp-to-nix-packages."usocket"; })); @@ -949,6 +945,22 @@ let quicklisp-to-nix-packages = rec { })); + "s-xml" = buildLispPackage + ((f: x: (x // (f x))) + (qlOverrides."s-xml" or (x: {})) + (import ./quicklisp-to-nix-output/s-xml.nix { + inherit fetchurl; + })); + + + "s-sysdeps" = buildLispPackage + ((f: x: (x // (f x))) + (qlOverrides."s-sysdeps" or (x: {})) + (import ./quicklisp-to-nix-output/s-sysdeps.nix { + inherit fetchurl; + })); + + "yason" = buildLispPackage ((f: x: (x // (f x))) (qlOverrides."yason" or (x: {})) @@ -972,9 +984,7 @@ let quicklisp-to-nix-packages = rec { (qlOverrides."xmls" or (x: {})) (import ./quicklisp-to-nix-output/xmls.nix { inherit fetchurl; - "cl-ppcre" = quicklisp-to-nix-packages."cl-ppcre"; "fiveam" = quicklisp-to-nix-packages."fiveam"; - "flexi-streams" = quicklisp-to-nix-packages."flexi-streams"; })); @@ -1643,19 +1653,16 @@ let quicklisp-to-nix-packages = rec { "cl-fad" = quicklisp-to-nix-packages."cl-fad"; "cl-ppcre" = quicklisp-to-nix-packages."cl-ppcre"; "cl-who" = quicklisp-to-nix-packages."cl-who"; - "cxml-stp" = quicklisp-to-nix-packages."cxml-stp"; "drakma" = quicklisp-to-nix-packages."drakma"; "flexi-streams" = quicklisp-to-nix-packages."flexi-streams"; "md5" = quicklisp-to-nix-packages."md5"; "rfc2388" = quicklisp-to-nix-packages."rfc2388"; "split-sequence" = quicklisp-to-nix-packages."split-sequence"; - "swank" = quicklisp-to-nix-packages."swank"; "trivial-backtrace" = quicklisp-to-nix-packages."trivial-backtrace"; "trivial-features" = quicklisp-to-nix-packages."trivial-features"; "trivial-garbage" = quicklisp-to-nix-packages."trivial-garbage"; "trivial-gray-streams" = quicklisp-to-nix-packages."trivial-gray-streams"; "usocket" = quicklisp-to-nix-packages."usocket"; - "xpath" = quicklisp-to-nix-packages."xpath"; })); @@ -2558,6 +2565,20 @@ let quicklisp-to-nix-packages = rec { })); + "cl-hooks" = buildLispPackage + ((f: x: (x // (f x))) + (qlOverrides."cl-hooks" or (x: {})) + (import ./quicklisp-to-nix-output/cl-hooks.nix { + inherit fetchurl; + "alexandria" = quicklisp-to-nix-packages."alexandria"; + "anaphora" = quicklisp-to-nix-packages."anaphora"; + "closer-mop" = quicklisp-to-nix-packages."closer-mop"; + "fiveam" = quicklisp-to-nix-packages."fiveam"; + "let-plus" = quicklisp-to-nix-packages."let-plus"; + "trivial-garbage" = quicklisp-to-nix-packages."trivial-garbage"; + })); + + "cl-fuse-meta-fs" = buildLispPackage ((f: x: (x // (f x))) (qlOverrides."cl-fuse-meta-fs" or (x: {})) @@ -2991,6 +3012,16 @@ let quicklisp-to-nix-packages = rec { })); + "cl-prevalence" = buildLispPackage + ((f: x: (x // (f x))) + (qlOverrides."cl-prevalence" or (x: {})) + (import ./quicklisp-to-nix-output/cl-prevalence.nix { + inherit fetchurl; + "s-sysdeps" = quicklisp-to-nix-packages."s-sysdeps"; + "s-xml" = quicklisp-to-nix-packages."s-xml"; + })); + + }; in quicklisp-to-nix-packages diff --git a/nixpkgs/pkgs/development/lua-modules/generated-packages.nix b/nixpkgs/pkgs/development/lua-modules/generated-packages.nix index 5adc2397939..13ec209142b 100644 --- a/nixpkgs/pkgs/development/lua-modules/generated-packages.nix +++ b/nixpkgs/pkgs/development/lua-modules/generated-packages.nix @@ -810,6 +810,25 @@ luacheck = buildLuarocksPackage { }; }; }; +luacov = buildLuarocksPackage { + pname = "luacov"; + version = "0.13.0-1"; + + src = fetchurl { + url = mirror://luarocks/luacov-0.13.0-1.src.rock; + sha256 = "16am0adzr4y64n94f64d4yrz65in8rwa8mmjz1p0k8afm5p5759i"; + }; + disabled = (luaOlder "5.1") || (luaAtLeast "5.4"); + propagatedBuildInputs = [ lua ]; + + meta = with stdenv.lib; { + homepage = "https://keplerproject.github.io/luacov/"; + description = "Coverage analysis tool for Lua scripts"; + license = { + fullName = "MIT"; + }; + }; +}; luadbi = buildLuarocksPackage { pname = "luadbi"; version = "0.7.2-1"; diff --git a/nixpkgs/pkgs/development/node-packages/node-packages-v10.json b/nixpkgs/pkgs/development/node-packages/node-packages-v10.json index defeb3e1682..18f96e2cc02 100644 --- a/nixpkgs/pkgs/development/node-packages/node-packages-v10.json +++ b/nixpkgs/pkgs/development/node-packages/node-packages-v10.json @@ -1,7 +1,7 @@ [ "@angular/cli" , "@antora/cli" -, "@antora/site-generator-default" +, "@antora/site-generator-default" , "@vue/cli" , "@webassemblyjs/cli" , "@webassemblyjs/repl" @@ -10,8 +10,6 @@ , "@webassemblyjs/wast-refmt" , "alloy" , "asar" -, "azure-cli" -, "azure-functions-core-tools" , "bash-language-server" , "bower" , "bower2nix" @@ -32,7 +30,6 @@ , "dnschain" , "dockerfile-language-server-nodejs" , "elasticdump" -, "elm-live" , "elm-oracle" , "emoj" , "emojione" diff --git a/nixpkgs/pkgs/development/node-packages/node-packages-v10.nix b/nixpkgs/pkgs/development/node-packages/node-packages-v10.nix index 636ba817317..6b7a9f639e3 100644 --- a/nixpkgs/pkgs/development/node-packages/node-packages-v10.nix +++ b/nixpkgs/pkgs/development/node-packages/node-packages-v10.nix @@ -5890,366 +5890,6 @@ let sha1 = "2d8e3e5d0bdbd7327f91bc814f5c57660f81824d"; }; }; - "azure-arm-authorization-2.0.0" = { - name = "azure-arm-authorization"; - packageName = "azure-arm-authorization"; - version = "2.0.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-authorization/-/azure-arm-authorization-2.0.0.tgz"; - sha1 = "56b558ba43b9cb5657662251dabe3cb34c16c56f"; - }; - }; - "azure-arm-batch-3.2.0" = { - name = "azure-arm-batch"; - packageName = "azure-arm-batch"; - version = "3.2.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-batch/-/azure-arm-batch-3.2.0.tgz"; - sha512 = "DDfgAiRruGAiL8Yot5nufG3O8GLA0r5lf1CGYhuF8pEzQ+vYfhLpgJzme7LPh3ASPb8UBSVYHm1IK4W4StvVnw=="; - }; - }; - "azure-arm-cdn-4.2.0" = { - name = "azure-arm-cdn"; - packageName = "azure-arm-cdn"; - version = "4.2.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-cdn/-/azure-arm-cdn-4.2.0.tgz"; - sha512 = "DkpLntvqHtCLbf7p/qqLS0eJluZtsb8gU65deJYiMz4OFQco+InP9giCVnY8gElW3QbMaqKyHOJCDK7NllKMoA=="; - }; - }; - "azure-arm-commerce-2.1.0" = { - name = "azure-arm-commerce"; - packageName = "azure-arm-commerce"; - version = "2.1.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-commerce/-/azure-arm-commerce-2.1.0.tgz"; - sha512 = "zhZ9b1Comp1Owa8/Pn7ORTL0l+uX9elz5A5yOoL/XdYXC8S6bN2QaiRLPmue9ZB55qGE1Tn7Cf+KRlpskL17hQ=="; - }; - }; - "azure-arm-compute-3.0.0-preview" = { - name = "azure-arm-compute"; - packageName = "azure-arm-compute"; - version = "3.0.0-preview"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-compute/-/azure-arm-compute-3.0.0-preview.tgz"; - sha1 = "f5f07792afcdff29ce0b7e16705342b6986f571b"; - }; - }; - "azure-arm-datalake-analytics-1.0.2-preview" = { - name = "azure-arm-datalake-analytics"; - packageName = "azure-arm-datalake-analytics"; - version = "1.0.2-preview"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-datalake-analytics/-/azure-arm-datalake-analytics-1.0.2-preview.tgz"; - sha1 = "b34f868e98a972ec80e4408d209dc06c000dfb63"; - }; - }; - "azure-arm-datalake-store-1.0.2-preview" = { - name = "azure-arm-datalake-store"; - packageName = "azure-arm-datalake-store"; - version = "1.0.2-preview"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-datalake-store/-/azure-arm-datalake-store-1.0.2-preview.tgz"; - sha1 = "c8b7c113016c92703a84dc28d29ba518e8c64763"; - }; - }; - "azure-arm-devtestlabs-2.1.1" = { - name = "azure-arm-devtestlabs"; - packageName = "azure-arm-devtestlabs"; - version = "2.1.1"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-devtestlabs/-/azure-arm-devtestlabs-2.1.1.tgz"; - sha512 = "S5dCYTMrqL+BJc699fIQtXwLFuv5m8jTDqPdXTFpn/CSkyBcOyJwuZH2zPExQjGNZTyjIR6GWi8oeg/IpYLBWw=="; - }; - }; - "azure-arm-dns-2.1.0" = { - name = "azure-arm-dns"; - packageName = "azure-arm-dns"; - version = "2.1.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-dns/-/azure-arm-dns-2.1.0.tgz"; - sha512 = "/y0tOM9qNijPYqB381JFYiEyfF+L5B8z+F8JS1OMV1JXIb45vZKXeoe82ZNMZ5g38Vme3uAblxpvp5OtIcvW6Q=="; - }; - }; - "azure-arm-hdinsight-0.2.2" = { - name = "azure-arm-hdinsight"; - packageName = "azure-arm-hdinsight"; - version = "0.2.2"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-hdinsight/-/azure-arm-hdinsight-0.2.2.tgz"; - sha1 = "3daeade6d26f6b115d8598320541ad2dcaa9516d"; - }; - }; - "azure-arm-hdinsight-jobs-0.1.0" = { - name = "azure-arm-hdinsight-jobs"; - packageName = "azure-arm-hdinsight-jobs"; - version = "0.1.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-hdinsight-jobs/-/azure-arm-hdinsight-jobs-0.1.0.tgz"; - sha1 = "252938f18d4341adf9942261656e791490c3c220"; - }; - }; - "azure-arm-insights-0.11.3" = { - name = "azure-arm-insights"; - packageName = "azure-arm-insights"; - version = "0.11.3"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-insights/-/azure-arm-insights-0.11.3.tgz"; - sha1 = "4e38f8d72cd532e8ad3982d26f43f73f8fb2149f"; - }; - }; - "azure-arm-iothub-1.0.1-preview" = { - name = "azure-arm-iothub"; - packageName = "azure-arm-iothub"; - version = "1.0.1-preview"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-iothub/-/azure-arm-iothub-1.0.1-preview.tgz"; - sha1 = "f63a6dad0355633d9347fb403f417fb195fe3b91"; - }; - }; - "azure-arm-network-5.3.0" = { - name = "azure-arm-network"; - packageName = "azure-arm-network"; - version = "5.3.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-network/-/azure-arm-network-5.3.0.tgz"; - sha512 = "juitxBWofPBZ+kcmLB8OjW5qPD6+/Ncdq86WjDTIUcH+cyb/GWktdDymv6adbOyz4xZ9/wbThFL7AHgq8cHBig=="; - }; - }; - "azure-arm-powerbiembedded-0.1.1" = { - name = "azure-arm-powerbiembedded"; - packageName = "azure-arm-powerbiembedded"; - version = "0.1.1"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-powerbiembedded/-/azure-arm-powerbiembedded-0.1.1.tgz"; - sha1 = "7103c94e06b3ddf628293f60e02fd0ba8f9c3ca9"; - }; - }; - "azure-arm-rediscache-0.2.3" = { - name = "azure-arm-rediscache"; - packageName = "azure-arm-rediscache"; - version = "0.2.3"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-rediscache/-/azure-arm-rediscache-0.2.3.tgz"; - sha1 = "b6898abe8b4c3e1b2ec5be82689ef212bc2b1a06"; - }; - }; - "azure-arm-resource-1.6.1-preview" = { - name = "azure-arm-resource"; - packageName = "azure-arm-resource"; - version = "1.6.1-preview"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-resource/-/azure-arm-resource-1.6.1-preview.tgz"; - sha1 = "aa9a49fb9081a210f2f4cc6596ca4653b68306e6"; - }; - }; - "azure-arm-resource-7.3.0" = { - name = "azure-arm-resource"; - packageName = "azure-arm-resource"; - version = "7.3.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-resource/-/azure-arm-resource-7.3.0.tgz"; - sha512 = "2K+ps1Iwa4PBQFwdCn1X8kAVIRLH5M7nlNZtfOWaYd7DXJ131qJpwW8ul6gKZgG7DAI3PBodrGsHFvPdgA+AzQ=="; - }; - }; - "azure-arm-servermanagement-1.1.0" = { - name = "azure-arm-servermanagement"; - packageName = "azure-arm-servermanagement"; - version = "1.1.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-servermanagement/-/azure-arm-servermanagement-1.1.0.tgz"; - sha512 = "GlPXPD5Up2U6Qxv40ScC/+7WRcVVYQf7EHUSomD385o/MuyJAjM6CxBS8fPKwkZR5MRSd60p6kBo5AQ+bwfpeA=="; - }; - }; - "azure-arm-storage-5.2.0" = { - name = "azure-arm-storage"; - packageName = "azure-arm-storage"; - version = "5.2.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-storage/-/azure-arm-storage-5.2.0.tgz"; - sha512 = "BVFUPi48eJNJFP4ryQ3BwNRlKRNuAA7cZeSxCvr6dGEP+wrd1Ixmb2MlvoMRjgjcEOVnhP4t2YQyHcHNqQsH9A=="; - }; - }; - "azure-arm-trafficmanager-1.1.0-preview" = { - name = "azure-arm-trafficmanager"; - packageName = "azure-arm-trafficmanager"; - version = "1.1.0-preview"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-trafficmanager/-/azure-arm-trafficmanager-1.1.0-preview.tgz"; - sha1 = "b46cfcf7f1690e4739864dcdb5c8de322e82ec50"; - }; - }; - "azure-arm-website-5.7.0" = { - name = "azure-arm-website"; - packageName = "azure-arm-website"; - version = "5.7.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-arm-website/-/azure-arm-website-5.7.0.tgz"; - sha512 = "GnwqaelTIhv40YI3Ch8+Q272X6XXWTq99Y1aYWZb1cejSP4gjrWWeppwor4HtjlVU9i9YIvYO91TRjQt8FrHVA=="; - }; - }; - "azure-asm-compute-0.18.0" = { - name = "azure-asm-compute"; - packageName = "azure-asm-compute"; - version = "0.18.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-compute/-/azure-asm-compute-0.18.0.tgz"; - sha1 = "109c31e17c697f4a00a01533fb230bf3ae448685"; - }; - }; - "azure-asm-hdinsight-0.10.2" = { - name = "azure-asm-hdinsight"; - packageName = "azure-asm-hdinsight"; - version = "0.10.2"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-hdinsight/-/azure-asm-hdinsight-0.10.2.tgz"; - sha1 = "2d11cdaaa073fc38f31c718991d5923fb7259fa0"; - }; - }; - "azure-asm-mgmt-0.10.1" = { - name = "azure-asm-mgmt"; - packageName = "azure-asm-mgmt"; - version = "0.10.1"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-mgmt/-/azure-asm-mgmt-0.10.1.tgz"; - sha1 = "d0a44b47ccabf338b19d53271675733cfa2d1751"; - }; - }; - "azure-asm-network-0.13.0" = { - name = "azure-asm-network"; - packageName = "azure-asm-network"; - version = "0.13.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-network/-/azure-asm-network-0.13.0.tgz"; - sha1 = "8d5d46b66b16c36dfc067f7c7c87bd2f42049c54"; - }; - }; - "azure-asm-sb-0.10.1" = { - name = "azure-asm-sb"; - packageName = "azure-asm-sb"; - version = "0.10.1"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-sb/-/azure-asm-sb-0.10.1.tgz"; - sha1 = "92487b24166041119714f66760ec1f36e8dc7222"; - }; - }; - "azure-asm-sql-0.10.1" = { - name = "azure-asm-sql"; - packageName = "azure-asm-sql"; - version = "0.10.1"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-sql/-/azure-asm-sql-0.10.1.tgz"; - sha1 = "47728df19a6d4f1cc935235c69fa9cf048cc8f42"; - }; - }; - "azure-asm-storage-0.12.0" = { - name = "azure-asm-storage"; - packageName = "azure-asm-storage"; - version = "0.12.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-storage/-/azure-asm-storage-0.12.0.tgz"; - sha1 = "f5edf48d41d18a80eb14af6a72c1d6924214fdd3"; - }; - }; - "azure-asm-subscription-0.10.1" = { - name = "azure-asm-subscription"; - packageName = "azure-asm-subscription"; - version = "0.10.1"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-subscription/-/azure-asm-subscription-0.10.1.tgz"; - sha1 = "917a5e87a04b69c0f5c29339fe910bb5e5e7a04c"; - }; - }; - "azure-asm-trafficmanager-0.10.3" = { - name = "azure-asm-trafficmanager"; - packageName = "azure-asm-trafficmanager"; - version = "0.10.3"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-trafficmanager/-/azure-asm-trafficmanager-0.10.3.tgz"; - sha1 = "91e2e63d73869090613cd42ee38a3823e55f4447"; - }; - }; - "azure-asm-website-0.10.7" = { - name = "azure-asm-website"; - packageName = "azure-asm-website"; - version = "0.10.7"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-asm-website/-/azure-asm-website-0.10.7.tgz"; - sha512 = "h3OmXKKOLd4sbf4khrxqGTjspjqpKduKN9EWgEoIeNhMY+PVKrVEIMr3ZyKzmmy/8123MD+ip67wMqUKSTLtUA=="; - }; - }; - "azure-batch-3.2.2" = { - name = "azure-batch"; - packageName = "azure-batch"; - version = "3.2.2"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-batch/-/azure-batch-3.2.2.tgz"; - sha512 = "IM5nUITXMgTFTF4avRxsz/oLcMXLSZEzpukulRRpO1emXBI4EgSIr0++hUo+AZ94MINE2C4DXgCDiQ9P0suYXw=="; - }; - }; - "azure-common-0.9.22" = { - name = "azure-common"; - packageName = "azure-common"; - version = "0.9.22"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-common/-/azure-common-0.9.22.tgz"; - sha512 = "0r9tK9D+1xl2/VPVtfmGmtkMqfooiBLS87fX+Ab0hOCPVVe/6CgVC4in0wSf2Ta8r65DbvxV5P4/t8fp8Q3EsQ=="; - }; - }; - "azure-gallery-2.0.0-pre.18" = { - name = "azure-gallery"; - packageName = "azure-gallery"; - version = "2.0.0-pre.18"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-gallery/-/azure-gallery-2.0.0-pre.18.tgz"; - sha1 = "3cd4c5e4e0091551d6a5ee757af2354c8a36b3e6"; - }; - }; - "azure-graph-2.2.0" = { - name = "azure-graph"; - packageName = "azure-graph"; - version = "2.2.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-graph/-/azure-graph-2.2.0.tgz"; - sha512 = "ab0LlM5Q3pcKm+V6F6yx2ShzGOTYMcmJvLdL3PQsC9hF+hrYsBdkTCdNZdlPBgrSB8jp5vzhmK83qHGRs14hHw=="; - }; - }; - "azure-keyvault-3.0.5" = { - name = "azure-keyvault"; - packageName = "azure-keyvault"; - version = "3.0.5"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-keyvault/-/azure-keyvault-3.0.5.tgz"; - sha512 = "59fzKRq9dnzv03lEuImvgXc3QjRJoSJtK0gv1WXoqCivBuPdFNK+x6hAjoEDS2WEOXG+7m3uiJWqpMh/8NW3ow=="; - }; - }; - "azure-monitoring-0.10.6" = { - name = "azure-monitoring"; - packageName = "azure-monitoring"; - version = "0.10.6"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-monitoring/-/azure-monitoring-0.10.6.tgz"; - sha512 = "6HNA8VuC5qYvQMjcQt2/zlB7oyAJ7n6KGIYGstS6KS9Orux0peqxlrGPDeQRa4jDNq6ili83KiGc7RhWcgsE4Q=="; - }; - }; - "azure-servicefabric-2.2.0" = { - name = "azure-servicefabric"; - packageName = "azure-servicefabric"; - version = "2.2.0"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-servicefabric/-/azure-servicefabric-2.2.0.tgz"; - sha512 = "b+rxF8esa1Cm+bnJLs6a+hO/7U9QwvQzg0bSR1rKP9NTKjZji3GxdndcPVkHqFv28QiLo9ifyR/FaJMA0cDcTw=="; - }; - }; - "azure-storage-2.10.3" = { - name = "azure-storage"; - packageName = "azure-storage"; - version = "2.10.3"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-storage/-/azure-storage-2.10.3.tgz"; - sha512 = "IGLs5Xj6kO8Ii90KerQrrwuJKexLgSwYC4oLWmc11mzKe7Jt2E5IVg+ZQ8K53YWZACtVTMBNO3iGuA+4ipjJxQ=="; - }; - }; "babel-code-frame-6.26.0" = { name = "babel-code-frame"; packageName = "babel-code-frame"; @@ -26838,24 +26478,6 @@ let sha512 = "p0CnzrTzEkS8UTEwgCqT2O5YVK9E8KGBBlJVm3hFtMZvf0dmncKYXWFPyUa4PAsfBL7h4jfu39tOIFTu6exntg=="; }; }; - "ms-rest-azure-1.15.7" = { - name = "ms-rest-azure"; - packageName = "ms-rest-azure"; - version = "1.15.7"; - src = fetchurl { - url = "https://registry.npmjs.org/ms-rest-azure/-/ms-rest-azure-1.15.7.tgz"; - sha1 = "8bce09f053b1565dbaa8bd022ca40155c35b0fde"; - }; - }; - "ms-rest-azure-2.6.0" = { - name = "ms-rest-azure"; - packageName = "ms-rest-azure"; - version = "2.6.0"; - src = fetchurl { - url = "https://registry.npmjs.org/ms-rest-azure/-/ms-rest-azure-2.6.0.tgz"; - sha512 = "J6386a9krZ4VtU7CRt+Ypgo9RGf8+d3gjMBkH7zbkM4zzkhbbMOYiPRaZ+bHZcfihkKLlktTgA6rjshTjF329A=="; - }; - }; "msgpack-lite-0.1.26" = { name = "msgpack-lite"; packageName = "msgpack-lite"; @@ -47304,506 +46926,6 @@ in bypassCache = true; reconstructLock = true; }; - azure-cli = nodeEnv.buildNodePackage { - name = "azure-cli"; - packageName = "azure-cli"; - version = "0.10.20"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-cli/-/azure-cli-0.10.20.tgz"; - sha512 = "MMiK5sFfIocNMWCc5PshUCAe6aY4P13/GCmSwudOziA/pFdQMHU8jhu+jU2SSWFug4K1ugeuCwtMXe43oL0PhQ=="; - }; - dependencies = [ - sources."@types/node-8.10.54" - sources."JSV-4.0.2" - sources."adal-node-0.1.28" - sources."ajv-6.10.2" - sources."amdefine-1.0.1" - sources."ansi-regex-2.1.1" - sources."ansi-styles-2.2.1" - sources."applicationinsights-0.16.0" - sources."asap-2.0.6" - sources."asn1-0.2.4" - sources."assert-plus-0.2.0" - sources."async-1.4.2" - sources."asynckit-0.4.0" - sources."aws-sign2-0.6.0" - sources."aws4-1.8.0" - sources."azure-arm-authorization-2.0.0" - sources."azure-arm-batch-3.2.0" - sources."azure-arm-cdn-4.2.0" - sources."azure-arm-commerce-2.1.0" - sources."azure-arm-compute-3.0.0-preview" - (sources."azure-arm-datalake-analytics-1.0.2-preview" // { - dependencies = [ - sources."async-0.2.7" - sources."azure-arm-resource-1.6.1-preview" - sources."ms-rest-1.15.7" - sources."ms-rest-azure-1.15.7" - sources."request-2.74.0" - ]; - }) - (sources."azure-arm-datalake-store-1.0.2-preview" // { - dependencies = [ - sources."async-0.2.7" - sources."azure-arm-resource-1.6.1-preview" - sources."ms-rest-1.15.7" - sources."ms-rest-azure-1.15.7" - sources."request-2.74.0" - ]; - }) - sources."azure-arm-devtestlabs-2.1.1" - sources."azure-arm-dns-2.1.0" - sources."azure-arm-hdinsight-0.2.2" - sources."azure-arm-hdinsight-jobs-0.1.0" - sources."azure-arm-insights-0.11.3" - sources."azure-arm-iothub-1.0.1-preview" - sources."azure-arm-network-5.3.0" - (sources."azure-arm-powerbiembedded-0.1.1" // { - dependencies = [ - sources."async-0.2.7" - sources."azure-arm-resource-1.6.1-preview" - sources."ms-rest-1.15.7" - sources."ms-rest-azure-1.15.7" - sources."request-2.74.0" - ]; - }) - (sources."azure-arm-rediscache-0.2.3" // { - dependencies = [ - sources."async-0.2.7" - sources."azure-arm-resource-1.6.1-preview" - sources."ms-rest-1.15.7" - sources."ms-rest-azure-1.15.7" - sources."request-2.74.0" - ]; - }) - sources."azure-arm-resource-7.3.0" - sources."azure-arm-servermanagement-1.1.0" - sources."azure-arm-storage-5.2.0" - sources."azure-arm-trafficmanager-1.1.0-preview" - sources."azure-arm-website-5.7.0" - sources."azure-asm-compute-0.18.0" - sources."azure-asm-hdinsight-0.10.2" - sources."azure-asm-mgmt-0.10.1" - sources."azure-asm-network-0.13.0" - sources."azure-asm-sb-0.10.1" - sources."azure-asm-sql-0.10.1" - sources."azure-asm-storage-0.12.0" - sources."azure-asm-subscription-0.10.1" - sources."azure-asm-trafficmanager-0.10.3" - (sources."azure-asm-website-0.10.7" // { - dependencies = [ - sources."underscore-1.9.1" - ]; - }) - (sources."azure-batch-3.2.2" // { - dependencies = [ - sources."underscore-1.9.1" - ]; - }) - (sources."azure-common-0.9.22" // { - dependencies = [ - sources."validator-9.4.1" - (sources."xml2js-0.4.22" // { - dependencies = [ - sources."xmlbuilder-11.0.1" - ]; - }) - ]; - }) - sources."azure-gallery-2.0.0-pre.18" - sources."azure-graph-2.2.0" - sources."azure-keyvault-3.0.5" - (sources."azure-monitoring-0.10.6" // { - dependencies = [ - sources."underscore-1.9.1" - ]; - }) - sources."azure-servicefabric-2.2.0" - (sources."azure-storage-2.10.3" // { - dependencies = [ - sources."readable-stream-2.0.6" - sources."sax-0.5.8" - sources."underscore-1.8.3" - sources."validator-9.4.1" - sources."xml2js-0.2.8" - sources."xmlbuilder-9.0.7" - ]; - }) - sources."balanced-match-1.0.0" - sources."bcrypt-pbkdf-1.0.2" - (sources."bl-1.1.2" // { - dependencies = [ - sources."readable-stream-2.0.6" - ]; - }) - sources."boom-2.10.1" - sources."brace-expansion-1.1.11" - sources."browserify-mime-1.2.9" - sources."buffer-equal-constant-time-1.0.1" - sources."buffer-from-1.1.1" - sources."caller-id-0.1.0" - sources."caseless-0.11.0" - sources."chalk-1.1.3" - sources."clone-1.0.4" - sources."colors-1.1.2" - sources."combined-stream-1.0.8" - sources."commander-1.0.4" - sources."concat-map-0.0.1" - (sources."concat-stream-1.6.2" // { - dependencies = [ - sources."process-nextick-args-2.0.1" - sources."readable-stream-2.3.6" - sources."safe-buffer-5.1.2" - sources."string_decoder-1.1.1" - ]; - }) - sources."core-util-is-1.0.2" - sources."cryptiles-2.0.5" - sources."ctype-0.5.2" - sources."cycle-1.0.3" - (sources."dashdash-1.14.1" // { - dependencies = [ - sources."assert-plus-1.0.0" - ]; - }) - sources."date-utils-1.2.21" - sources."dateformat-1.0.2-1.2.3" - sources."deep-equal-1.1.0" - sources."defaults-1.0.3" - sources."define-properties-1.1.3" - sources."delayed-stream-1.0.0" - sources."duplexer-0.1.1" - sources."easy-table-1.1.0" - sources."ecc-jsbn-0.1.2" - sources."ecdsa-sig-formatter-1.0.11" - sources."envconf-0.0.4" - sources."es-abstract-1.14.2" - sources."es-to-primitive-1.2.0" - sources."escape-string-regexp-1.0.5" - sources."event-stream-3.1.5" - sources."extend-3.0.2" - sources."extsprintf-1.3.0" - sources."eyes-0.1.8" - sources."fast-deep-equal-2.0.1" - sources."fast-json-patch-0.5.6" - sources."fast-json-stable-stringify-2.0.0" - sources."fibers-1.0.15" - sources."forever-agent-0.6.1" - (sources."form-data-1.0.1" // { - dependencies = [ - sources."async-2.6.3" - ]; - }) - sources."from-0.1.7" - sources."fs.realpath-1.0.0" - sources."function-bind-1.1.1" - sources."galaxy-0.1.12" - sources."generate-function-2.3.1" - sources."generate-object-property-1.2.0" - (sources."getpass-0.1.7" // { - dependencies = [ - sources."assert-plus-1.0.0" - ]; - }) - sources."github-0.1.6" - sources."glob-7.1.4" - sources."har-schema-2.0.0" - (sources."har-validator-2.0.6" // { - dependencies = [ - sources."commander-2.20.0" - ]; - }) - sources."has-1.0.3" - sources."has-ansi-2.0.0" - sources."has-color-0.1.7" - sources."has-symbols-1.0.0" - sources."hash-base-3.0.4" - sources."hawk-3.1.3" - sources."hoek-2.16.3" - sources."http-basic-2.5.1" - sources."http-response-object-1.1.0" - sources."http-signature-1.1.1" - sources."i-0.3.6" - sources."inflight-1.0.6" - sources."inherits-2.0.4" - sources."is-arguments-1.0.4" - sources."is-buffer-1.1.6" - sources."is-callable-1.1.4" - sources."is-date-object-1.0.1" - sources."is-my-ip-valid-1.0.0" - sources."is-my-json-valid-2.20.0" - sources."is-property-1.0.2" - sources."is-regex-1.0.4" - sources."is-stream-1.1.0" - sources."is-symbol-1.0.2" - sources."is-typedarray-1.0.0" - sources."isarray-1.0.0" - sources."isstream-0.1.2" - sources."js2xmlparser-1.0.0" - sources."jsbn-0.1.1" - sources."json-edm-parser-0.1.2" - sources."json-schema-0.2.3" - sources."json-schema-traverse-0.4.1" - sources."json-stringify-safe-5.0.1" - sources."jsonlint-1.6.2" - sources."jsonminify-0.4.1" - sources."jsonparse-1.2.0" - sources."jsonpointer-4.0.1" - (sources."jsprim-1.4.1" // { - dependencies = [ - sources."assert-plus-1.0.0" - ]; - }) - sources."jsrsasign-4.8.2" - sources."jwa-1.4.1" - sources."jws-3.2.2" - sources."jwt-decode-2.2.0" - sources."keypress-0.1.0" - (sources."kuduscript-1.0.16" // { - dependencies = [ - sources."commander-1.1.1" - sources."streamline-0.4.11" - ]; - }) - sources."lodash-4.17.15" - sources."map-stream-0.1.0" - sources."md5.js-1.3.4" - sources."mime-db-1.40.0" - sources."mime-types-2.1.24" - sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" - sources."moment-2.24.0" - (sources."ms-rest-2.5.3" // { - dependencies = [ - sources."through-2.3.8" - sources."tunnel-0.0.5" - ]; - }) - (sources."ms-rest-azure-2.6.0" // { - dependencies = [ - sources."async-2.6.0" - ]; - }) - sources."mute-stream-0.0.8" - sources."ncp-0.4.2" - sources."node-forge-0.6.23" - sources."node-uuid-1.4.8" - (sources."nomnom-1.8.1" // { - dependencies = [ - sources."ansi-styles-1.0.0" - sources."chalk-0.4.0" - sources."strip-ansi-0.1.1" - sources."underscore-1.6.0" - ]; - }) - sources."oauth-sign-0.8.2" - sources."object-inspect-1.6.0" - sources."object-is-1.0.1" - sources."object-keys-1.1.1" - sources."object.getownpropertydescriptors-2.0.3" - sources."omelette-0.3.2" - sources."once-1.4.0" - sources."openssl-wrapper-0.3.4" - sources."os-homedir-1.0.2" - sources."path-is-absolute-1.0.1" - sources."pause-stream-0.0.11" - sources."performance-now-2.1.0" - sources."pinkie-2.0.4" - sources."pinkie-promise-2.0.1" - sources."pkginfo-0.4.1" - sources."process-nextick-args-1.0.7" - sources."progress-1.1.8" - sources."promise-7.3.1" - (sources."prompt-0.2.14" // { - dependencies = [ - sources."async-0.2.10" - sources."colors-0.6.2" - (sources."winston-0.8.3" // { - dependencies = [ - sources."pkginfo-0.3.1" - ]; - }) - ]; - }) - sources."psl-1.4.0" - sources."punycode-1.4.1" - sources."qs-6.2.3" - sources."read-1.0.7" - (sources."readable-stream-1.0.34" // { - dependencies = [ - sources."isarray-0.0.1" - ]; - }) - sources."regexp.prototype.flags-1.2.0" - (sources."request-2.88.0" // { - dependencies = [ - sources."assert-plus-1.0.0" - sources."aws-sign2-0.7.0" - sources."caseless-0.12.0" - sources."form-data-2.3.3" - sources."har-validator-5.1.3" - sources."http-signature-1.2.0" - sources."oauth-sign-0.9.0" - sources."qs-6.5.2" - sources."tough-cookie-2.4.3" - sources."tunnel-agent-0.6.0" - ]; - }) - sources."revalidator-0.1.8" - sources."rimraf-2.7.1" - sources."safe-buffer-5.2.0" - sources."safer-buffer-2.1.2" - sources."sax-1.2.4" - sources."sntp-1.0.9" - sources."source-map-0.1.43" - sources."split-0.2.10" - (sources."ssh-key-to-pem-0.11.0" // { - dependencies = [ - sources."asn1-0.1.11" - ]; - }) - (sources."sshpk-1.16.1" // { - dependencies = [ - sources."assert-plus-1.0.0" - ]; - }) - sources."stack-trace-0.0.10" - sources."stream-combiner-0.0.4" - sources."streamline-0.10.17" - sources."streamline-streams-0.1.5" - sources."string.prototype.trimleft-2.1.0" - sources."string.prototype.trimright-2.1.0" - sources."string_decoder-0.10.31" - sources."stringstream-0.0.6" - sources."strip-ansi-3.0.1" - sources."supports-color-2.0.0" - sources."sync-request-3.0.0" - sources."then-request-2.2.0" - sources."through-2.3.4" - sources."tough-cookie-2.3.4" - sources."tunnel-0.0.2" - sources."tunnel-agent-0.4.3" - sources."tweetnacl-0.14.5" - sources."typedarray-0.0.6" - sources."underscore-1.4.4" - (sources."uri-js-4.2.2" // { - dependencies = [ - sources."punycode-2.1.1" - ]; - }) - sources."user-home-2.0.0" - sources."util-deprecate-1.0.2" - sources."util.promisify-1.0.0" - (sources."utile-0.2.1" // { - dependencies = [ - sources."async-0.2.10" - ]; - }) - sources."uuid-3.3.3" - sources."validator-5.2.0" - (sources."verror-1.10.0" // { - dependencies = [ - sources."assert-plus-1.0.0" - ]; - }) - sources."wcwidth-1.0.1" - (sources."winston-2.1.1" // { - dependencies = [ - sources."async-1.0.0" - sources."colors-1.0.3" - sources."pkginfo-0.3.1" - ]; - }) - sources."wordwrap-0.0.2" - sources."wrappy-1.0.2" - sources."xml2js-0.1.14" - sources."xmlbuilder-0.4.3" - sources."xmldom-0.1.27" - sources."xpath.js-1.1.0" - sources."xtend-4.0.2" - ]; - buildInputs = globalBuildInputs; - meta = { - description = "Microsoft Azure Cross Platform Command Line tool"; - homepage = https://github.com/Azure/azure-xplat-cli; - license = "Apache-2.0"; - }; - production = true; - bypassCache = true; - reconstructLock = true; - }; - azure-functions-core-tools = nodeEnv.buildNodePackage { - name = "azure-functions-core-tools"; - packageName = "azure-functions-core-tools"; - version = "2.7.1585"; - src = fetchurl { - url = "https://registry.npmjs.org/azure-functions-core-tools/-/azure-functions-core-tools-2.7.1585.tgz"; - sha512 = "jxtiDsa1M5eMmXyjrkX8b2jl+7QKsjWifF8ralXI9DJYh1jJATUKGAPw56n5SJFbsxZlxxdxDoboOkgFP4aeog=="; - }; - dependencies = [ - sources."agent-base-4.3.0" - sources."ansi-styles-3.2.1" - sources."balanced-match-1.0.0" - sources."big-integer-1.6.45" - sources."binary-0.3.0" - sources."bluebird-3.4.7" - sources."brace-expansion-1.1.11" - sources."buffer-indexof-polyfill-1.0.1" - sources."buffers-0.1.1" - sources."chainsaw-0.1.0" - sources."chalk-2.4.2" - sources."color-convert-1.9.3" - sources."color-name-1.1.3" - sources."command-exists-1.2.8" - sources."concat-map-0.0.1" - sources."core-util-is-1.0.2" - sources."debug-3.2.6" - sources."duplexer2-0.1.4" - sources."es6-promise-4.2.8" - sources."es6-promisify-5.0.0" - sources."escape-string-regexp-1.0.5" - sources."fs.realpath-1.0.0" - sources."fstream-1.0.12" - sources."glob-7.1.3" - sources."graceful-fs-4.2.2" - sources."has-flag-3.0.0" - sources."https-proxy-agent-2.2.1" - sources."inflight-1.0.6" - sources."inherits-2.0.4" - sources."isarray-1.0.0" - sources."listenercount-1.0.1" - sources."minimatch-3.0.4" - sources."minimist-0.0.8" - sources."mkdirp-0.5.1" - sources."ms-2.1.2" - sources."once-1.4.0" - sources."os-tmpdir-1.0.2" - sources."path-is-absolute-1.0.1" - sources."process-nextick-args-2.0.1" - sources."progress-2.0.3" - sources."readable-stream-2.3.6" - sources."rimraf-2.6.3" - sources."safe-buffer-5.1.2" - sources."setimmediate-1.0.5" - sources."string_decoder-1.1.1" - sources."supports-color-5.5.0" - sources."tmp-0.0.33" - sources."traverse-0.3.9" - sources."unzipper-0.9.7" - sources."util-deprecate-1.0.2" - sources."wrappy-1.0.2" - ]; - buildInputs = globalBuildInputs; - meta = { - description = "Azure Functions Core Tools"; - homepage = "https://github.com/Azure/azure-functions-core-tools#readme"; - license = "MIT"; - }; - production = true; - bypassCache = true; - reconstructLock = true; - }; bash-language-server = nodeEnv.buildNodePackage { name = "bash-language-server"; packageName = "bash-language-server"; @@ -78039,4 +77161,4 @@ in bypassCache = true; reconstructLock = true; }; -}
\ No newline at end of file +} diff --git a/nixpkgs/pkgs/development/ocaml-modules/bigstringaf/default.nix b/nixpkgs/pkgs/development/ocaml-modules/bigstringaf/default.nix index 762e675d51c..0544ebbe685 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/bigstringaf/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/bigstringaf/default.nix @@ -1,8 +1,8 @@ -{ stdenv, fetchFromGitHub, buildDunePackage, alcotest }: +{ lib, fetchFromGitHub, buildDunePackage, alcotest, bigarray-compat }: buildDunePackage rec { pname = "bigstringaf"; - version = "0.3.0"; + version = "0.6.0"; minimumOCamlVersion = "4.03"; @@ -10,16 +10,17 @@ buildDunePackage rec { owner = "inhabitedtype"; repo = pname; rev = version; - sha256 = "1yx6hv8rk0ldz1h6kk00rwg8abpfc376z00aifl9f5rn7xavpscs"; + sha256 = "04b088vrqzmxsyan9f9nr8721bxip4b930cgvb5zkbbmrw3ylmwc"; }; buildInputs = [ alcotest ]; + propagatedBuildInputs = [ bigarray-compat ]; doCheck = true; meta = { description = "Bigstring intrinsics and fast blits based on memcpy/memmove"; - license = stdenv.lib.licenses.bsd3; - maintainers = [ stdenv.lib.maintainers.vbgl ]; + license = lib.licenses.bsd3; + maintainers = [ lib.maintainers.vbgl ]; inherit (src.meta) homepage; }; } diff --git a/nixpkgs/pkgs/development/ocaml-modules/digestif/default.nix b/nixpkgs/pkgs/development/ocaml-modules/digestif/default.nix index d8089bb533f..f0a98249d6d 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/digestif/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/digestif/default.nix @@ -1,27 +1,26 @@ -{ stdenv, fetchurl, ocaml, findlib, ocamlbuild, topkg }: +{ lib, fetchurl, buildDunePackage +, bigarray-compat, eqaf, stdlib-shims +, alcotest +}: -if !stdenv.lib.versionAtLeast ocaml.version "4.3" -then throw "digestif is not available for OCaml ${ocaml.version}" -else - -stdenv.mkDerivation rec { - name = "ocaml${ocaml.version}-digestif-${version}"; - version = "0.5"; +buildDunePackage rec { + pname = "digestif"; + version = "0.8.0"; src = fetchurl { - url = "https://github.com/mirage/digestif/releases/download/v${version}/digestif-${version}.tbz"; - sha256 = "0fsyfi5ps17j3wjav5176gf6z3a5xcw9aqhcr1gml9n9ayfbkhrd"; + url = "https://github.com/mirage/digestif/releases/download/v${version}/digestif-v${version}.tbz"; + sha256 = "09g4zngqiw97cljv8ds4m063wcxz3y7c7vzaprsbpjzi0ja5jdcy"; }; - buildInputs = [ ocaml findlib ocamlbuild topkg ]; + buildInputs = lib.optional doCheck alcotest; + propagatedBuildInputs = [ bigarray-compat eqaf stdlib-shims ]; - inherit (topkg) buildPhase installPhase; + doCheck = true; meta = { description = "Simple hash algorithms in OCaml"; homepage = "https://github.com/mirage/digestif"; - license = stdenv.lib.licenses.mit; - maintainers = [ stdenv.lib.maintainers.vbgl ]; - inherit (ocaml.meta) platforms; + license = lib.licenses.mit; + maintainers = [ lib.maintainers.vbgl ]; }; } diff --git a/nixpkgs/pkgs/development/ocaml-modules/doc-ock-html/default.nix b/nixpkgs/pkgs/development/ocaml-modules/doc-ock-html/default.nix deleted file mode 100644 index cd2e2761b50..00000000000 --- a/nixpkgs/pkgs/development/ocaml-modules/doc-ock-html/default.nix +++ /dev/null @@ -1,22 +0,0 @@ -{ stdenv, fetchFromGitHub, buildDunePackage, doc-ock, tyxml, xmlm }: - -buildDunePackage rec { - pname = "doc-ock-html"; - version = "1.2.1"; - - src = fetchFromGitHub { - owner = "ocaml-doc"; - repo = pname; - rev = "v${version}"; - sha256 = "1y620h48qrplmcm78g7c78zibpkai4j3icwmnx95zb3r8xq8554y"; - }; - - propagatedBuildInputs = [ doc-ock tyxml xmlm ]; - - meta = { - description = "From doc-ock to HTML"; - license = stdenv.lib.licenses.isc; - maintainers = [ stdenv.lib.maintainers.vbgl ]; - inherit (src.meta) homepage; - }; -} diff --git a/nixpkgs/pkgs/development/ocaml-modules/doc-ock-xml/default.nix b/nixpkgs/pkgs/development/ocaml-modules/doc-ock-xml/default.nix deleted file mode 100644 index cebf7707788..00000000000 --- a/nixpkgs/pkgs/development/ocaml-modules/doc-ock-xml/default.nix +++ /dev/null @@ -1,22 +0,0 @@ -{ stdenv, fetchFromGitHub, buildDunePackage, doc-ock, menhir, xmlm }: - -buildDunePackage rec { - pname = "doc-ock-xml"; - version = "1.2.1"; - - src = fetchFromGitHub { - owner = "ocaml-doc"; - repo = pname; - rev = "v${version}"; - sha256 = "1s27ri7vj9ixi5p5ixg6g6invk96807bvxbqjrr1dm8sxgl1nd20"; - }; - - propagatedBuildInputs = [ doc-ock menhir xmlm ]; - - meta = { - description = "XML printer and parser for Doc-Ock"; - license = stdenv.lib.licenses.isc; - maintainers = [ stdenv.lib.maintainers.vbgl ]; - inherit (src.meta) homepage; - }; -} diff --git a/nixpkgs/pkgs/development/ocaml-modules/doc-ock/default.nix b/nixpkgs/pkgs/development/ocaml-modules/doc-ock/default.nix deleted file mode 100644 index ff42c93e5c1..00000000000 --- a/nixpkgs/pkgs/development/ocaml-modules/doc-ock/default.nix +++ /dev/null @@ -1,24 +0,0 @@ -{ stdenv, fetchFromGitHub, buildDunePackage, octavius, cppo }: - -buildDunePackage rec { - pname = "doc-ock"; - version = "1.2.1"; - - src = fetchFromGitHub { - owner = "ocaml-doc"; - repo = pname; - rev = "v${version}"; - sha256 = "090vprm12jrl55yllk1hdzbsqyr107yjs2qnc49yahdhvnr4h5b7"; - }; - - buildInputs = [ cppo ]; - - propagatedBuildInputs = [ octavius ]; - - meta = { - description = "Extract documentation from OCaml files"; - license = stdenv.lib.licenses.isc; - maintainers = [ stdenv.lib.maintainers.vbgl ]; - inherit (src.meta) homepage; - }; -} diff --git a/nixpkgs/pkgs/development/ocaml-modules/eliom/camlp4.patch b/nixpkgs/pkgs/development/ocaml-modules/eliom/camlp4.patch deleted file mode 100644 index 06d5ba1b7ed..00000000000 --- a/nixpkgs/pkgs/development/ocaml-modules/eliom/camlp4.patch +++ /dev/null @@ -1,12 +0,0 @@ ---- a/src/_tags 2014-10-01 16:19:35.000000000 +0100 -+++ b/src/_tags 2014-11-09 16:55:34.470663377 +0000 -@@ -40,7 +40,7 @@ - <lib/server/monitor/*.ml{,i}>:I(src/lib/server) - - <syntax/pa_*.*>: syntax(camlp4o),package(camlp4.quotations.o,camlp4.extend,bytes) --<syntax/pa_*.ml{,i}>: I(+camlp4/Camlp4Parsers) -+<syntax/pa_*.ml{,i}>: use_camlp4_full - - <ppx/**/*>: package(ppx_tools, compiler-libs.common, ppx_tools.metaquot) - - diff --git a/nixpkgs/pkgs/development/ocaml-modules/eliom/default.nix b/nixpkgs/pkgs/development/ocaml-modules/eliom/default.nix index 25e75ceeba3..9a9ea28da53 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/eliom/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/eliom/default.nix @@ -1,33 +1,35 @@ -{ stdenv, fetchzip, which, ocsigen_server, ocsigen_deriving, ocaml, lwt_camlp4, +{ stdenv, fetchzip, which, ocsigen_server, ocaml, lwt_react, opaline, ppx_tools, ppx_deriving, findlib +, ppx_tools_versioned , js_of_ocaml-ocamlbuild, js_of_ocaml-ppx, js_of_ocaml-ppx_deriving_json , js_of_ocaml-lwt , js_of_ocaml-tyxml , lwt_ppx }: +if !stdenv.lib.versionAtLeast ocaml.version "4.07" +then throw "eliom is not available for OCaml ${ocaml.version}" +else + stdenv.mkDerivation rec { pname = "eliom"; - version = "6.7.0"; + version = "6.8.0"; src = fetchzip { url = "https://github.com/ocsigen/eliom/archive/${version}.tar.gz"; - sha256 = "0mrlpvjaihpsf2xr6p1gs0sz4cwzkknf5b1s32bhmqq5qzsh4j8k"; + sha256 = "0di4q0wzbnk9sxlaj97ivghzh8qvjb8n17h80y4nmqhys97pldif"; }; - patches = [ ./camlp4.patch ]; - buildInputs = [ ocaml which findlib js_of_ocaml-ocamlbuild js_of_ocaml-ppx_deriving_json opaline ppx_tools - ocsigen_deriving + ppx_tools_versioned ]; propagatedBuildInputs = [ js_of_ocaml-lwt js_of_ocaml-ppx js_of_ocaml-tyxml - lwt_camlp4 lwt_ppx lwt_react ocsigen_server @@ -40,7 +42,7 @@ stdenv.mkDerivation rec meta = { homepage = http://ocsigen.org/eliom/; - description = "Ocaml Framework for programming Web sites and client/server Web applications"; + description = "OCaml Framework for programming Web sites and client/server Web applications"; longDescription =''Eliom is a framework for programming Web sites and client/server Web applications. It introduces new concepts to diff --git a/nixpkgs/pkgs/development/ocaml-modules/eqaf/default.nix b/nixpkgs/pkgs/development/ocaml-modules/eqaf/default.nix new file mode 100644 index 00000000000..966fe30197d --- /dev/null +++ b/nixpkgs/pkgs/development/ocaml-modules/eqaf/default.nix @@ -0,0 +1,20 @@ +{ lib, fetchurl, buildDunePackage }: + +buildDunePackage rec { + minimumOCamlVersion = "4.03"; + pname = "eqaf"; + version = "0.5"; + + src = fetchurl { + url = "https://github.com/mirage/eqaf/releases/download/v${version}/eqaf-v${version}.tbz"; + sha256 = "1wkkmw8q2ml7ifpg0g06y0sclq0zvjf6dpsi36dnci7f230q3vsq"; + }; + + meta = { + description = "Constant time equal function to avoid timing attacks in OCaml"; + homepage = "https://github.com/mirage/eqaf"; + license = lib.licenses.mit; + maintainers = [ lib.maintainers.vbgl ]; + }; + +} diff --git a/nixpkgs/pkgs/development/ocaml-modules/faraday/default.nix b/nixpkgs/pkgs/development/ocaml-modules/faraday/default.nix index d739b33c8fa..0c3eb5459cb 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/faraday/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/faraday/default.nix @@ -1,4 +1,4 @@ -{ lib, fetchFromGitHub, buildDunePackage, alcotest, bigstringaf }: +{ lib, fetchFromGitHub, buildDunePackage, ocaml, alcotest, bigstringaf }: buildDunePackage rec { pname = "faraday"; @@ -13,9 +13,9 @@ buildDunePackage rec { sha256 = "0z6ikwlqad91iac0q5z88p3wzq5k15y86ckzmhdq1aqwrcm14bq2"; }; - buildInputs = [ alcotest ]; + checkInputs = lib.optional doCheck alcotest; propagatedBuildInputs = [ bigstringaf ]; - doCheck = true; + doCheck = lib.versions.majorMinor ocaml.version != "4.07"; meta = { description = "Serialization library built for speed and memory efficiency"; diff --git a/nixpkgs/pkgs/development/ocaml-modules/httpaf/default.nix b/nixpkgs/pkgs/development/ocaml-modules/httpaf/default.nix index bec4f947ce3..42e7c1eac1b 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/httpaf/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/httpaf/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, buildDunePackage, angstrom, faraday, alcotest }: +{ lib, fetchFromGitHub, buildDunePackage, ocaml, angstrom, faraday, alcotest }: buildDunePackage rec { pname = "httpaf"; @@ -11,14 +11,14 @@ buildDunePackage rec { sha256 = "0i2r004ihj00hd97475y8nhjqjln58xx087zcjl0dfp0n7q80517"; }; - buildInputs = [ alcotest ]; + checkInputs = lib.optional doCheck alcotest; propagatedBuildInputs = [ angstrom faraday ]; - doCheck = true; + doCheck = lib.versions.majorMinor ocaml.version != "4.07"; meta = { description = "A high-performance, memory-efficient, and scalable web server for OCaml"; - license = stdenv.lib.licenses.bsd3; - maintainers = [ stdenv.lib.maintainers.vbgl ]; + license = lib.licenses.bsd3; + maintainers = [ lib.maintainers.vbgl ]; inherit (src.meta) homepage; }; } diff --git a/nixpkgs/pkgs/development/ocaml-modules/lru/default.nix b/nixpkgs/pkgs/development/ocaml-modules/lru/default.nix index d6ff1721199..e5c7937b27a 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/lru/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/lru/default.nix @@ -1,25 +1,20 @@ -{ stdenv, fetchurl, ocaml, findlib, ocamlbuild, topkg, psq }: +{ lib, fetchurl, buildDunePackage, psq }: -stdenv.mkDerivation rec { - name = "ocaml${ocaml.version}-lru-${version}"; - version = "0.2.0"; +buildDunePackage rec { + pname = "lru"; + version = "0.3.0"; src = fetchurl { - url = "https://github.com/pqwy/lru/releases/download/v${version}/lru-${version}.tbz"; - sha256 = "0bd7js9rrma1fjjjjc3fgr9l5fjbhgihx2nsaf96g2b35iiaimd0"; + url = "https://github.com/pqwy/lru/releases/download/v${version}/lru-v${version}.tbz"; + sha256 = "1ab9rd7cq15ml8x0wjl44wy99h5z7x4g9vkkz4i2d7n84ghy7vw4"; }; - buildInputs = [ ocaml findlib ocamlbuild topkg ]; - propagatedBuildInputs = [ psq ]; - inherit (topkg) buildPhase installPhase; - meta = { homepage = "https://github.com/pqwy/lru"; description = "Scalable LRU caches for OCaml"; - maintainers = [ stdenv.lib.maintainers.vbgl ]; - license = stdenv.lib.licenses.isc; - inherit (ocaml.meta) platforms; + maintainers = [ lib.maintainers.vbgl ]; + license = lib.licenses.isc; }; } diff --git a/nixpkgs/pkgs/development/ocaml-modules/menhir/default.nix b/nixpkgs/pkgs/development/ocaml-modules/menhir/default.nix index 1a2a31277af..23e31e75779 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/menhir/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/menhir/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, ocaml, findlib, ocamlbuild -, version ? if stdenv.lib.versionAtLeast (stdenv.lib.getVersion ocaml) "4.02" then "20181113" else "20140422" +, version ? if stdenv.lib.versionAtLeast (stdenv.lib.getVersion ocaml) "4.02" then "20190626" else "20140422" }@args: let @@ -7,6 +7,7 @@ let if version == "20140422" then { url = "http://cristal.inria.fr/~fpottier/menhir/menhir-20140422.tar.gz"; sha256 = "1ki1f2id6a14h9xpv2k8yb6px7dyw8cvwh39csyzj4qpzx7wia0d"; } else if version == "20170712" then { url = "http://gallium.inria.fr/~fpottier/menhir/menhir-20170712.tar.gz"; sha256 = "006hq3bwj81j67f2k9cgzj5wr4hai8j36925p5n3sd2j01ljsj6a"; } else if version == "20181113" then { url = "https://gitlab.inria.fr/fpottier/menhir/repository/20181113/archive.tar.gz"; sha256 = "0hl611l0gyl7b2bm7m0sk7vjz14m0i7znrnjq3gw58pylj934dx4"; } + else if version == "20190626" then { url = "https://gitlab.inria.fr/fpottier/menhir/repository/20190626/archive.tar.gz"; sha256 = "0nigjnskg89knyi2zj1w211mb1pvkrwfqpz9a0qbw80k3hm8gg0h"; } else throw ("menhir: unknown version " ++ version) ); in diff --git a/nixpkgs/pkgs/development/ocaml-modules/ocsigen-start/default.nix b/nixpkgs/pkgs/development/ocaml-modules/ocsigen-start/default.nix index 1287c9b80b5..a8eea5b0c07 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/ocsigen-start/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/ocsigen-start/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchFromGitHub, ocaml, findlib, ocsigen-toolkit, eliom, ocaml_pcre, pgocaml, macaque, safepass, yojson, ocsigen_deriving, ocsigen_server -, js_of_ocaml-camlp4 +, js_of_ocaml-camlp4, lwt_camlp4 , resource-pooling }: @@ -7,7 +7,7 @@ stdenv.mkDerivation rec { name = "ocaml${ocaml.version}-ocsigen-start-${version}"; version = "1.8.0"; - buildInputs = [ ocaml findlib eliom js_of_ocaml-camlp4 ]; + buildInputs = [ ocaml findlib eliom js_of_ocaml-camlp4 lwt_camlp4 ]; propagatedBuildInputs = [ pgocaml macaque safepass ocaml_pcre ocsigen-toolkit yojson ocsigen_deriving ocsigen_server resource-pooling ]; patches = [ ./templates-dir.patch ]; diff --git a/nixpkgs/pkgs/development/ocaml-modules/psq/default.nix b/nixpkgs/pkgs/development/ocaml-modules/psq/default.nix index 08b9c10d982..ff9c52c50a7 100644 --- a/nixpkgs/pkgs/development/ocaml-modules/psq/default.nix +++ b/nixpkgs/pkgs/development/ocaml-modules/psq/default.nix @@ -1,27 +1,21 @@ -{ stdenv, fetchurl, ocaml, findlib, ocamlbuild, topkg }: +{ lib, buildDunePackage, fetchurl, seq }: -if !stdenv.lib.versionAtLeast ocaml.version "4.02" -then throw "psq is not available for OCaml ${ocaml.version}" -else - -stdenv.mkDerivation rec { - name = "ocaml${ocaml.version}-psq-${version}"; - version = "0.1.0"; +buildDunePackage rec { + minimumOCamlVersion = "4.03"; + pname = "psq"; + version = "0.2.0"; src = fetchurl { - url = "https://github.com/pqwy/psq/releases/download/v${version}/psq-${version}.tbz"; - sha256 = "08ghgdivbjrxnaqc3hsb69mr9s2ql5ds0fb97b7z6zimzqibz6lp"; + url = "https://github.com/pqwy/psq/releases/download/v${version}/psq-v${version}.tbz"; + sha256 = "1j4lqkq17rskhgcrpgr4n1m1a2b1x35mlxj6f9g05rhpmgvgvknk"; }; - buildInputs = [ ocaml findlib ocamlbuild topkg ]; - - inherit (topkg) buildPhase installPhase; + propagatedBuildInputs = [ seq ]; meta = { description = "Functional Priority Search Queues for OCaml"; homepage = "https://github.com/pqwy/psq"; - maintainers = [ stdenv.lib.maintainers.vbgl ]; - license = stdenv.lib.licenses.isc; - inherit (ocaml.meta) platforms; + maintainers = [ lib.maintainers.vbgl ]; + license = lib.licenses.isc; }; } diff --git a/nixpkgs/pkgs/development/ocaml-modules/spelll/default.nix b/nixpkgs/pkgs/development/ocaml-modules/spelll/default.nix new file mode 100644 index 00000000000..5901dcb896a --- /dev/null +++ b/nixpkgs/pkgs/development/ocaml-modules/spelll/default.nix @@ -0,0 +1,24 @@ +{ lib, fetchFromGitHub, buildDunePackage +, seq +}: + +buildDunePackage rec { + pname = "spelll"; + version = "0.3"; + + src = fetchFromGitHub { + owner = "c-cube"; + repo = pname; + rev = "v${version}"; + sha256 = "03adqisgsazsxdkrypp05k3g91hydfgcif2014il63gdbd9nhzlh"; + }; + + propagatedBuildInputs = [ seq ]; + + meta = { + inherit (src.meta) homepage; + description = "Fuzzy string searching, using Levenshtein automaton"; + license = lib.licenses.bsd2; + maintainers = [ lib.maintainers.vbgl ]; + }; +} diff --git a/nixpkgs/pkgs/development/perl-modules/Device-OUI-1.04-hash.patch b/nixpkgs/pkgs/development/perl-modules/Device-OUI-1.04-hash.patch new file mode 100644 index 00000000000..6133ab67475 --- /dev/null +++ b/nixpkgs/pkgs/development/perl-modules/Device-OUI-1.04-hash.patch @@ -0,0 +1,11 @@ +--- Device-OUI-1.04/lib/Device/OUI.pm.orig 2009-03-07 02:23:17.000000000 +0000 ++++ Device-OUI-1.04/lib/Device/OUI.pm 2016-08-09 08:19:00.642799675 +0100 +@@ -54,7 +54,7 @@ + for my $x ( keys %hash ) { + if ( not defined $hash{ $x } ) { $hash{ $x } = '' } + } +- return $handle->{ $oui } = join( "\0", %hash ); ++ return $handle->{ $oui } = join( "\0", map {$_,$hash{$_}} sort keys %hash ); + } elsif ( my $x = $handle->{ $oui } ) { + return { split( "\0", $x ) }; + } diff --git a/nixpkgs/pkgs/development/python-modules/PyLD/default.nix b/nixpkgs/pkgs/development/python-modules/PyLD/default.nix index 0862fa63072..df8738ce247 100644 --- a/nixpkgs/pkgs/development/python-modules/PyLD/default.nix +++ b/nixpkgs/pkgs/development/python-modules/PyLD/default.nix @@ -1,4 +1,4 @@ -{ stdenv, buildPythonPackage, fetchFromGitHub, python, gnugrep }: +{ stdenv, buildPythonPackage, fetchFromGitHub, python, requests, gnugrep }: let @@ -18,17 +18,19 @@ let in -buildPythonPackage { - pname = "PyLD"; - version = "0.7.2"; +buildPythonPackage rec { + pname = "pyld"; + version = "1.0.5"; src = fetchFromGitHub { owner = "digitalbazaar"; - repo = "pyld"; - rev = "652473f828e9a396d4c1db9addbd294fb7db1797"; - sha256 = "1bmpz4s6j7by6l45wwxy7dn7hmrhxc26kbx2hbfy41x29vbjg6j9"; + repo = pname; + rev = version; + sha256 = "0z2vkllw8bvzxripwb6l757r7av5qwhzsiy4061gmlhq8z8gq961"; }; + propagatedBuildInputs = [ requests ]; + # Unfortunately PyLD does not pass all testcases in the JSON-LD corpus. We # check for at least a minimum amount of successful tests so we know it's not # getting worse, at least. diff --git a/nixpkgs/pkgs/development/python-modules/aioredis/default.nix b/nixpkgs/pkgs/development/python-modules/aioredis/default.nix new file mode 100644 index 00000000000..7b391a5b42f --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/aioredis/default.nix @@ -0,0 +1,29 @@ +{ stdenv, buildPythonPackage, fetchPypi +, pkgs, async-timeout, hiredis, isPyPy, isPy27 +}: + +buildPythonPackage rec { + pname = "aioredis"; + version = "1.2.0"; + + disabled = isPy27; + + src = fetchPypi { + inherit pname version; + sha256 = "06i53xpz4x6qrmdxqwvkpd17lbgmwfq20v0jrwc73f5y57kjpml4"; + }; + + propagatedBuildInputs = [ + async-timeout + ] ++ stdenv.lib.optional (!isPyPy) hiredis; + + # Wants to run redis-server, hardcoded FHS paths, too much trouble. + doCheck = false; + + meta = with stdenv.lib; { + description = "Asyncio (PEP 3156) Redis client library"; + homepage = https://github.com/aio-libs/aioredis; + license = licenses.mit; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/aresponses/default.nix b/nixpkgs/pkgs/development/python-modules/aresponses/default.nix new file mode 100644 index 00000000000..9e64d2f65ff --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/aresponses/default.nix @@ -0,0 +1,38 @@ +{ lib +, buildPythonPackage +, fetchPypi +# propagatedBuildInputs +, aiohttp +# buildInputs +, pytest +, pytest-asyncio +}: + +buildPythonPackage rec { + pname = "aresponses"; + version = "1.1.1"; + + src = fetchPypi { + inherit pname version; + sha256 = "d1d6ef52b9a97142d106688cf9b112602ef3dc66f6368de8f91f47241d8cfc9c"; + }; + + propagatedBuildInputs = [ + aiohttp + ]; + + buildInputs = [ + pytest + pytest-asyncio + ]; + + # tests only distributed via git repository, not pypi + doCheck = false; + + meta = with lib; { + description = "Asyncio testing server"; + homepage = "https://github.com/circleup/aresponses"; + license = licenses.mit; + maintainers = [ maintainers.makefu ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/azure-applicationinsights/default.nix b/nixpkgs/pkgs/development/python-modules/azure-applicationinsights/default.nix index 74f7cf61b13..f0e70b8d86c 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-applicationinsights/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-applicationinsights/default.nix @@ -25,7 +25,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Application Insights Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-applicotioninsights; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-batch/default.nix b/nixpkgs/pkgs/development/python-modules/azure-batch/default.nix index 42bb4cbad6c..f772d16942f 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-batch/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-batch/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Batch Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/batch?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-common/default.nix b/nixpkgs/pkgs/development/python-modules/azure-common/default.nix index b3c6f3fa1cc..03756dce33c 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-common/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-common/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure common code"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-common; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-cosmos/default.nix b/nixpkgs/pkgs/development/python-modules/azure-cosmos/default.nix index 72d5b9a6ff7..f5219e950f1 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-cosmos/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-cosmos/default.nix @@ -21,7 +21,7 @@ buildPythonPackage rec { meta = with lib; { description = "Azure Cosmos DB API"; - homepage = https://github.com/Azure/azure-cosmos-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ jonringer ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-cosmosdb-nspkg/default.nix b/nixpkgs/pkgs/development/python-modules/azure-cosmosdb-nspkg/default.nix index fef2614e1ae..7a7c0e7215d 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-cosmosdb-nspkg/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-cosmosdb-nspkg/default.nix @@ -22,7 +22,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure CosmosDB namespace package"; - homepage = https://github.com/Azure/azure-cosmos-table-python/tree/master/azure-cosmosdb-nspkg; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-cosmosdb-table/default.nix b/nixpkgs/pkgs/development/python-modules/azure-cosmosdb-table/default.nix index 6a5d54b8298..31a7d81dcab 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-cosmosdb-table/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-cosmosdb-table/default.nix @@ -32,7 +32,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Log Analytics Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/cosmosdb?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-datalake-store/default.nix b/nixpkgs/pkgs/development/python-modules/azure-datalake-store/default.nix index f2fdbd40edc..0b7d0c9c775 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-datalake-store/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-datalake-store/default.nix @@ -32,7 +32,7 @@ buildPythonPackage rec { meta = with lib; { description = "This project is the Python filesystem library for Azure Data Lake Store"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/data-lake-store?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-eventgrid/default.nix b/nixpkgs/pkgs/development/python-modules/azure-eventgrid/default.nix index 79449c328b0..35e291fd30f 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-eventgrid/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-eventgrid/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "A fully-managed intelligent event routing service that allows for uniform event consumption using a publish-subscribe model"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/event-grid?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-graphrbac/default.nix b/nixpkgs/pkgs/development/python-modules/azure-graphrbac/default.nix index f5c1131f660..57fec6ff525 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-graphrbac/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-graphrbac/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Graph RBAC Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-graphrbac; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-keyvault/default.nix b/nixpkgs/pkgs/development/python-modules/azure-keyvault/default.nix index ed0fe138769..1c4f9f4ee80 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-keyvault/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-keyvault/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Key Vault Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/key-vault?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-loganalytics/default.nix b/nixpkgs/pkgs/development/python-modules/azure-loganalytics/default.nix index 6a2b57052cc..ab512480f0c 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-loganalytics/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-loganalytics/default.nix @@ -25,7 +25,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Log Analytics Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/loganalytics/client?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-advisor/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-advisor/default.nix index c2423095280..66ee264ef45 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-advisor/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-advisor/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Advisor Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-advisor; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-applicationinsights/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-applicationinsights/default.nix index d7e27eabf64..57c3aec3d45 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-applicationinsights/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-applicationinsights/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Application Insights Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-applicationinsights; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-authorization/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-authorization/default.nix index 7953234f6e2..ef99e4e3405 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-authorization/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-authorization/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Authorization Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-authorization; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-batch/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-batch/default.nix index f218fe58e87..91936af014f 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-batch/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-batch/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Batch Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-batch; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-batchai/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-batchai/default.nix index faa4d5bc39a..e1938738597 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-batchai/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-batchai/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Batch AI Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-batchai; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-billing/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-billing/default.nix index 73ce99eb752..666d10d87e5 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-billing/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-billing/default.nix @@ -34,7 +34,7 @@ buildPythonPackage { meta = with lib; { description = "This is the Microsoft Azure Billing Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-billing; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-cdn/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-cdn/default.nix index 7ad27938082..bbee8b39993 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-cdn/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-cdn/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure CDN Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-cdn; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-cognitiveservices/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-cognitiveservices/default.nix index fc12cafc117..c535971c7bf 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-cognitiveservices/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-cognitiveservices/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Cognitive Services Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-cognitiveservices; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-commerce/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-commerce/default.nix index ed0cb18aff3..2be5d27f82a 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-commerce/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-commerce/default.nix @@ -34,7 +34,7 @@ buildPythonPackage { meta = with lib; { description = "This is the Microsoft Azure Commerce Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-commerce; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-common/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-common/default.nix index 482c0d190bc..da8dc95dad0 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-common/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-common/default.nix @@ -35,7 +35,7 @@ buildPythonPackage rec { meta = with pkgs.lib; { description = "This is the Microsoft Azure Resource Management common code"; - homepage = https://pypi.org/project/azure-mgmt-common; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-compute/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-compute/default.nix index 1713ca65405..13fe88b5f89 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-compute/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-compute/default.nix @@ -30,7 +30,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Compute Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-compute; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-consumption/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-consumption/default.nix index d074f45cce5..59b4cbee5bf 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-consumption/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-consumption/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Consumption Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-consumption; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-containerinstance/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-containerinstance/default.nix index fd74008c1bf..5bf67fc6787 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-containerinstance/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-containerinstance/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Container Instance Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-containerinstance; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-containerservice/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-containerservice/default.nix index f66712e6f71..5b78fa6b039 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-containerservice/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-containerservice/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Container Service Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-containerservice; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-cosmosdb/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-cosmosdb/default.nix index aa3f44d0797..33617383a1d 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-cosmosdb/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-cosmosdb/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Cosmos DB Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-cosmosdb; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datafactory/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datafactory/default.nix index 7d028a61a55..e768b7b6c60 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datafactory/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datafactory/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Data Factory Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-datafactory; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-analytics/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-analytics/default.nix index 31cfdf0e6d5..c3d690088d1 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-analytics/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-analytics/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Data Lake Analytics Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-datalake-analytics; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-nspkg/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-nspkg/default.nix index 0df7d65374a..ce4f623657e 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-nspkg/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-nspkg/default.nix @@ -23,7 +23,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Data Lake Management namespace package"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-datalake-nspkg; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-store/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-store/default.nix index ce28d0a7749..3164cf2bf15 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-store/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datalake-store/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Data Lake Store Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-datalake-store; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datamigration/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datamigration/default.nix index d752abd6012..cd98e6f98b0 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-datamigration/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-datamigration/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Data Migration Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-datamigration; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-devspaces/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-devspaces/default.nix index f5830f01ac9..5ce0f30d1f1 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-devspaces/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-devspaces/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Dev Spaces Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-devspaces; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-devtestlabs/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-devtestlabs/default.nix index 62ae975f8d5..76a5f0cbcd2 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-devtestlabs/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-devtestlabs/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure DevTestLabs Management Client Library"; - homepage = https://github.com/Azure/sdk-for-python/tree/master/azure-mgmt-devtestlabs; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-dns/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-dns/default.nix index 55b5633cfe9..94d51b765e9 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-dns/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-dns/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure DNS Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/dns?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-eventgrid/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-eventgrid/default.nix index 93f3006966c..f5ddbf0fcc7 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-eventgrid/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-eventgrid/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure EventGrid Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/event-grid?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-eventhub/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-eventhub/default.nix index 188789e171c..c780d07c7ce 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-eventhub/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-eventhub/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure EventHub Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/event-hub?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-hanaonazure/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-hanaonazure/default.nix index 26247a7eb39..a0a35f5b5b5 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-hanaonazure/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-hanaonazure/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure SAP Hana on Azure Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/hanaonazure?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-iotcentral/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-iotcentral/default.nix index 9509911709b..22dac6794e4 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-iotcentral/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-iotcentral/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure IoTCentral Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/iot?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-iothub/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-iothub/default.nix index aac5ef06e91..61e51c4390a 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-iothub/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-iothub/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure IoTHub Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/iot?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-iothubprovisioningservices/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-iothubprovisioningservices/default.nix index 502ddccd667..7605e459271 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-iothubprovisioningservices/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-iothubprovisioningservices/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure IoTHub Provisioning Services Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/iot?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-keyvault/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-keyvault/default.nix index b640f7eef0c..99cdfdf922b 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-keyvault/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-keyvault/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Key Vault Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/key-vault?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-loganalytics/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-loganalytics/default.nix index 3473b6e86b4..6d844117c9f 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-loganalytics/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-loganalytics/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Log Analytics Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-loganalytics; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-logic/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-logic/default.nix index 2050e290467..345f11f8626 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-logic/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-logic/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Logic Apps Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/logic-apps?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-machinelearningcompute/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-machinelearningcompute/default.nix index a68f7e43858..47e91ecf49f 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-machinelearningcompute/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-machinelearningcompute/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Machine Learning Compute Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-machinelearningcompute; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-managementgroups/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-managementgroups/default.nix index 03faf442cbd..080647bae96 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-managementgroups/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-managementgroups/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Management Groups Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-managementgroups; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-managementpartner/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-managementpartner/default.nix index be1ddc49a49..c546a7eeba3 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-managementpartner/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-managementpartner/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure ManagementPartner Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-managementpartner; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-maps/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-maps/default.nix index b1e373173d2..2869b6c81d7 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-maps/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-maps/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Maps Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-maps; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-marketplaceordering/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-marketplaceordering/default.nix index 8995f43a08b..db30d30d755 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-marketplaceordering/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-marketplaceordering/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Market Place Ordering Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-marketplaceordering; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-media/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-media/default.nix index 5061fa90ae8..d86b7f40d4b 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-media/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-media/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Media Services Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/media-services?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-monitor/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-monitor/default.nix index 3233e9bc00e..b9a8b3c0158 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-monitor/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-monitor/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Monitor Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/monitoring?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-msi/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-msi/default.nix index 63ebbb298f2..9b1d0bf5a2b 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-msi/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-msi/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure MSI Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-msi; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-network/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-network/default.nix index a950f1f7c03..a94cd58136d 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-network/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-network/default.nix @@ -30,7 +30,7 @@ buildPythonPackage rec { meta = with lib; { description = "Microsoft Azure SDK for Python"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/network?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-notificationhubs/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-notificationhubs/default.nix index 0629eb07b66..a8ebba57648 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-notificationhubs/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-notificationhubs/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Notification Hubs Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/notification-hubs?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-nspkg/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-nspkg/default.nix index 8adb60e99aa..fd2664b0ec0 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-nspkg/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-nspkg/default.nix @@ -22,7 +22,7 @@ buildPythonPackage rec { meta = with pkgs.lib; { description = "Microsoft Azure SDK for Python"; - homepage = https://github.com/Azure/azure-sdk-for-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-policyinsights/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-policyinsights/default.nix index b57146afe3e..4df00abc806 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-policyinsights/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-policyinsights/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Policy Insights Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/policy?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-powerbiembedded/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-powerbiembedded/default.nix index c946bb7ab36..537e576b2b5 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-powerbiembedded/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-powerbiembedded/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Power BI Embedded Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/power-bi?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-rdbms/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-rdbms/default.nix index 2c5e2d1f2ea..ae4a5fee2c9 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-rdbms/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-rdbms/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure RDBMS Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-rdbms; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-recoveryservices/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-recoveryservices/default.nix index 5e4a500ce3b..5747881fd4e 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-recoveryservices/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-recoveryservices/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Recovery Services Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/recoveryservices?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-recoveryservicesbackup/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-recoveryservicesbackup/default.nix index 0b91309cac3..3bf18f9b4d4 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-recoveryservicesbackup/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-recoveryservicesbackup/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Recovery Services Backup Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/recovery-services-backup?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-redis/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-redis/default.nix index 21cdfbafc92..9274bd53cf6 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-redis/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-redis/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Redis Cache Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/redis?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-relay/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-relay/default.nix index a3491356c9a..718cd985443 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-relay/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-relay/default.nix @@ -27,7 +27,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Relay Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/relay?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-reservations/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-reservations/default.nix index be00d4aa418..fe2a4dac402 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-reservations/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-reservations/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Reservations Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-reservations; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-resource/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-resource/default.nix index c8574680c17..9d5d124dbd8 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-resource/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-resource/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with pkgs.lib; { description = "Microsoft Azure SDK for Python"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/resources?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-scheduler/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-scheduler/default.nix index a25b81aa5fa..366e8df9a75 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-scheduler/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-scheduler/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Scheduler Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/scheduler?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-search/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-search/default.nix index cc287589809..936a1b4269c 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-search/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-search/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Search Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/search?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-servicebus/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-servicebus/default.nix index e5b35e538ee..72c363b3e66 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-servicebus/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-servicebus/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Service Bus Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/servicebus?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-servicefabric/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-servicefabric/default.nix index cf44d66eab7..a80c97ea377 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-servicefabric/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-servicefabric/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Service Fabric Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/servicefabric?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-signalr/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-signalr/default.nix index 050e8e7b4e9..2ccb773c105 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-signalr/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-signalr/default.nix @@ -29,7 +29,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure SignalR Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-signalr; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-sql/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-sql/default.nix index 2cb1c16c503..4a2fe93e6f8 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-sql/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-sql/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure SQL Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/sql?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-storage/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-storage/default.nix index d056a7ad598..44d5f231115 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-storage/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-storage/default.nix @@ -28,7 +28,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Storage Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/storage?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-subscription/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-subscription/default.nix index d19aba5fbc2..962add966a5 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-subscription/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-subscription/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Subscription Management Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-mgmt-subscription; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-trafficmanager/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-trafficmanager/default.nix index 87b0603877b..946be6f34ca 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-trafficmanager/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-trafficmanager/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Traffic Manager Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/traffic-manager?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-mgmt-web/default.nix b/nixpkgs/pkgs/development/python-modules/azure-mgmt-web/default.nix index 019d5bdc1e0..00d55f391d5 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-mgmt-web/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-mgmt-web/default.nix @@ -10,12 +10,12 @@ buildPythonPackage rec { pname = "azure-mgmt-web"; - version = "0.42.0"; + version = "0.43.0"; src = fetchPypi { inherit pname version; extension = "zip"; - sha256 = "0vp40i9aaw5ycz7s7qqir6jq7327f7zg9j9i8g31qkfl1h1c7pdn"; + sha256 = "0yqxk2zr1ibm2vycyq4vy9hy0xhznma5x3kjl6qxhmb4kyyyljkh"; }; propagatedBuildInputs = [ @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Web Apps Management Client Library"; - homepage = https://docs.microsoft.com/en-us/python/api/overview/azure/webapps?view=azure-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-nspkg/default.nix b/nixpkgs/pkgs/development/python-modules/azure-nspkg/default.nix index bb1cb41f341..5be90194194 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-nspkg/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-nspkg/default.nix @@ -19,7 +19,7 @@ buildPythonPackage rec { meta = with lib; { description = "Microsoft Azure SDK for Python"; - homepage = https://github.com/Azure/azure-sdk-for-python; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-servicebus/default.nix b/nixpkgs/pkgs/development/python-modules/azure-servicebus/default.nix index 84ebf617bae..7656e7c6376 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-servicebus/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-servicebus/default.nix @@ -31,7 +31,7 @@ buildPythonPackage rec { meta = with lib; { description = "This is the Microsoft Azure Service Bus Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/free/master/azure-servicebus; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-servicefabric/default.nix b/nixpkgs/pkgs/development/python-modules/azure-servicefabric/default.nix index 6b9ac45ad97..b07a34f5bde 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-servicefabric/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-servicefabric/default.nix @@ -25,7 +25,7 @@ buildPythonPackage rec { meta = with lib; { description = "This project provides a client library in Python that makes it easy to consume Microsoft Azure Storage services"; - homepage = https://pypi.org/project/azure-servicefabric; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-servicemanagement-legacy/default.nix b/nixpkgs/pkgs/development/python-modules/azure-servicemanagement-legacy/default.nix index 51e1c08e63d..dbd4c1c040f 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-servicemanagement-legacy/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-servicemanagement-legacy/default.nix @@ -30,7 +30,7 @@ buildPythonPackage { meta = with lib; { description = "This is the Microsoft Azure Service Management Legacy Client Library"; - homepage = https://github.com/Azure/azure-sdk-for-python/tree/master/azure-servicemanagement-legacy; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ olcai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-storage-blob/default.nix b/nixpkgs/pkgs/development/python-modules/azure-storage-blob/default.nix index 2c00d78a718..14bd182ea1b 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-storage-blob/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-storage-blob/default.nix @@ -26,7 +26,7 @@ buildPythonPackage rec { meta = with lib; { description = "Client library for Microsoft Azure Storage services containing the blob service APIs"; - homepage = https://github.com/Azure/azure-storage-python/tree/master/azure-storage-blob; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ cmcdragonkai mwilsoninsight ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-storage-common/default.nix b/nixpkgs/pkgs/development/python-modules/azure-storage-common/default.nix index dc7a0c3107c..55339acbac5 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-storage-common/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-storage-common/default.nix @@ -30,7 +30,7 @@ buildPythonPackage rec { meta = with lib; { description = "Client library for Microsoft Azure Storage services containing common code shared by blob, file and queue"; - homepage = https://github.com/Azure/azure-storage-python/tree/master/azure-storage-common; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ cmcdragonkai ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-storage-file/default.nix b/nixpkgs/pkgs/development/python-modules/azure-storage-file/default.nix index ffdb2f656c1..c5f63fada2d 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-storage-file/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-storage-file/default.nix @@ -26,7 +26,7 @@ buildPythonPackage rec { meta = with lib; { description = "Client library for Microsoft Azure Storage services containing the file service APIs"; - homepage = https://github.com/Azure/azure-storage-python/tree/master/azure-storage-file; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ cmcdragonkai ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-storage-nspkg/default.nix b/nixpkgs/pkgs/development/python-modules/azure-storage-nspkg/default.nix index 5efef893a75..b8e243c9b6a 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-storage-nspkg/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-storage-nspkg/default.nix @@ -22,7 +22,7 @@ buildPythonPackage rec { meta = with lib; { description = "Client library for Microsoft Azure Storage services owning the azure.storage namespace, user should not use this directly"; - homepage = https://github.com/Azure/azure-storage-python/tree/master/azure-storage-nspkg; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ cmcdragonkai ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-storage-queue/default.nix b/nixpkgs/pkgs/development/python-modules/azure-storage-queue/default.nix index 1ca1288e9b4..6e536a35e1b 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-storage-queue/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-storage-queue/default.nix @@ -24,7 +24,7 @@ buildPythonPackage rec { meta = with lib; { description = "Client library for Microsoft Azure Storage services containing the queue service APIs"; - homepage = https://github.com/Azure/azure-storage-python/tree/master/azure-storage-queue; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.mit; maintainers = with maintainers; [ cmcdragonkai ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/azure-storage/default.nix b/nixpkgs/pkgs/development/python-modules/azure-storage/default.nix index 6693a7464d4..c199fbbeb36 100644 --- a/nixpkgs/pkgs/development/python-modules/azure-storage/default.nix +++ b/nixpkgs/pkgs/development/python-modules/azure-storage/default.nix @@ -28,7 +28,7 @@ buildPythonPackage rec { meta = with pkgs.lib; { description = "Microsoft Azure SDK for Python"; - homepage = "https://azure.microsoft.com/en-us/develop/python/"; + homepage = "https://github.com/Azure/azure-sdk-for-python"; license = licenses.asl20; maintainers = with maintainers; [ olcai ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/babelfish/default.nix b/nixpkgs/pkgs/development/python-modules/babelfish/default.nix index 003f996d29a..c8245a15c7f 100644 --- a/nixpkgs/pkgs/development/python-modules/babelfish/default.nix +++ b/nixpkgs/pkgs/development/python-modules/babelfish/default.nix @@ -1,4 +1,4 @@ -{ stdenv, buildPythonPackage, fetchPypi }: +{ stdenv, buildPythonPackage, fetchPypi, setuptools }: buildPythonPackage rec { pname = "babelfish"; @@ -9,6 +9,8 @@ buildPythonPackage rec { sha256 = "8380879fa51164ac54a3e393f83c4551a275f03617f54a99d70151358e444104"; }; + propagatedBuildInputs = [ setuptools ]; + meta = with stdenv.lib; { homepage = https://pypi.python.org/pypi/babelfish; description = "A module to work with countries and languages"; diff --git a/nixpkgs/pkgs/development/python-modules/botocore/default.nix b/nixpkgs/pkgs/development/python-modules/botocore/default.nix index 5c8b00fc6c1..e1465e86b0e 100644 --- a/nixpkgs/pkgs/development/python-modules/botocore/default.nix +++ b/nixpkgs/pkgs/development/python-modules/botocore/default.nix @@ -19,6 +19,8 @@ buildPythonPackage rec { sha256 = "19ls7hdmcaqrrq8przqy05s8chsy8315ic2zg185k6m64pvr0qhd"; }; + outputs = [ "out" "dev" ]; + propagatedBuildInputs = [ dateutil jmespath diff --git a/nixpkgs/pkgs/development/python-modules/capstone/default.nix b/nixpkgs/pkgs/development/python-modules/capstone/default.nix index 2bd22ebf0d9..035632f9cf2 100644 --- a/nixpkgs/pkgs/development/python-modules/capstone/default.nix +++ b/nixpkgs/pkgs/development/python-modules/capstone/default.nix @@ -2,6 +2,7 @@ , buildPythonPackage , fetchPypi , fetchpatch +, setuptools }: buildPythonPackage rec { @@ -17,6 +18,8 @@ buildPythonPackage rec { sha256 = "3c0f73db9f8392f7048c8a244809f154d7c39f354e2167f6c477630aa517ed04"; }; + propagatedBuildInputs = [ setuptools ]; + patches = [ (fetchpatch { stripLen = 2; diff --git a/nixpkgs/pkgs/development/python-modules/channels-redis/default.nix b/nixpkgs/pkgs/development/python-modules/channels-redis/default.nix new file mode 100644 index 00000000000..8812e4097ab --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/channels-redis/default.nix @@ -0,0 +1,55 @@ +{ stdenv, buildPythonPackage, fetchPypi, pythonOlder +, redis, channels, msgpack, aioredis, hiredis, asgiref +# , fetchFromGitHub, async_generator, async-timeout, cryptography, pytest, pytest-asyncio +}: + +buildPythonPackage rec { + pname = "channels-redis"; + version = "2.4.0"; + + disabled = pythonOlder "3.6"; + + src = fetchPypi { + inherit version; + pname = "channels_redis"; + sha256 = "1g4izdf8237pwxn85bv5igc2bajrvck1p2a7q448qmjfznrbrk5p"; + }; + + buildInputs = [ redis hiredis ]; + + propagatedBuildInputs = [ channels msgpack aioredis asgiref ]; + + # Fetch from github (no tests files on pypi) + # src = fetchFromGitHub { + # rev = version; + # owner = "django"; + # repo = "channels_redis"; + # sha256 = "05niaqjv790mnrvca26kbnvb50fgnk2zh0k4np60cn6ilp4nl0kc"; + # }; + # + # checkInputs = [ + # async_generator + # async-timeout + # cryptography + # pytest + # pytest-asyncio + # ]; + # + # # Fails with : ConnectionRefusedError: [Errno 111] Connect call failed ('127.0.0.1', 6379) + # # (even with a local redis instance running) + # checkPhase = '' + # pytest -p no:django tests/ + # ''; + + postPatch = '' + sed -i "s/msgpack~=0.6.0/msgpack/" setup.py + sed -i "s/aioredis~=1.0/aioredis/" setup.py + ''; + + meta = with stdenv.lib; { + homepage = https://github.com/django/channels_redis/; + description = "Redis-backed ASGI channel layer implementation"; + license = licenses.bsd3; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/channels/default.nix b/nixpkgs/pkgs/development/python-modules/channels/default.nix index a3b7e54c57a..0b06516bb5c 100644 --- a/nixpkgs/pkgs/development/python-modules/channels/default.nix +++ b/nixpkgs/pkgs/development/python-modules/channels/default.nix @@ -3,11 +3,11 @@ }: buildPythonPackage rec { pname = "channels"; - version = "2.2.0"; + version = "2.3.0"; src = fetchPypi { inherit pname version; - sha256 = "af7cdba9efb3f55b939917d1b15defb5d40259936013e60660e5e9aff98db4c5"; + sha256 = "0vd2ci1w5r4bhmhk349wclnc289lx14lpwp5k4910m63kywb8nap"; }; # Files are missing in the distribution diff --git a/nixpkgs/pkgs/development/python-modules/confluent-kafka/default.nix b/nixpkgs/pkgs/development/python-modules/confluent-kafka/default.nix index 9e4f4072bf6..8d992f71f33 100644 --- a/nixpkgs/pkgs/development/python-modules/confluent-kafka/default.nix +++ b/nixpkgs/pkgs/development/python-modules/confluent-kafka/default.nix @@ -1,12 +1,12 @@ { stdenv, buildPythonPackage, fetchPypi, isPy3k, rdkafka, requests, avro3k, avro, futures, enum34 }: buildPythonPackage rec { - version = "1.0.1"; + version = "1.2.0"; pname = "confluent-kafka"; src = fetchPypi { inherit pname version; - sha256 = "04bsnyhldcvscdj0dg3hm5k27vmhfi9k6bwz963sd3q2h7g9k6kg"; + sha256 = "1nn8z7ggjj04v97jm33dxh2cv2azy4xi1hwkj9qwbbc68vasc3cp"; }; buildInputs = [ rdkafka requests ] ++ (if isPy3k then [ avro3k ] else [ enum34 avro futures ]) ; diff --git a/nixpkgs/pkgs/development/python-modules/cx_freeze/default.nix b/nixpkgs/pkgs/development/python-modules/cx_freeze/default.nix index 234bb46f97b..dae3e032a39 100644 --- a/nixpkgs/pkgs/development/python-modules/cx_freeze/default.nix +++ b/nixpkgs/pkgs/development/python-modules/cx_freeze/default.nix @@ -2,11 +2,11 @@ buildPythonPackage rec { pname = "cx_Freeze"; - version = "5.1.1"; + version = "6.0"; src = fetchPypi { inherit pname version; - sha256 = "2eadddde670f5c5f6cf88638a0ac4e5d5fe181292a31063275fa56c7bf22426b"; + sha256 = "067bgkgx7i3kw31vaviwysbb1lk91cjw9q90vklsr7nsygjxi0fa"; }; propagatedBuildInputs = [ ncurses ]; diff --git a/nixpkgs/pkgs/development/python-modules/django-auth-ldap/default.nix b/nixpkgs/pkgs/development/python-modules/django-auth-ldap/default.nix new file mode 100644 index 00000000000..4e2377ffb0f --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/django-auth-ldap/default.nix @@ -0,0 +1,30 @@ +{ stdenv +, buildPythonPackage +, fetchPypi, isPy27 +, ldap , django_2_2 +, mock +}: + +buildPythonPackage rec { + pname = "django-auth-ldap"; + version = "2.0.0"; + disabled = isPy27; + src = fetchPypi { + inherit pname version; + sha256 = "1samrxf8lic6a4c0lgw31b38s97l8hnaknd7ilyy2plahmm0h03i"; + }; + + propagatedBuildInputs = [ ldap django_2_2 ]; + checkInputs = [ mock ]; + + # django.core.exceptions.ImproperlyConfigured: Requested setting INSTALLED_APPS, but settings are not configured. You must either define the environment variable DJANGO_SETTINGS_MODULE or call settings.configure() before accessing settings + doCheck = false; + + meta = with stdenv.lib; { + description = "Django authentication backend that authenticates against an LDAP service"; + homepage = https://github.com/django-auth-ldap/django-auth-ldap; + license = licenses.bsd2; + maintainers = with maintainers; [ mmai ]; + platforms = platforms.linux; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/django-cleanup/default.nix b/nixpkgs/pkgs/development/python-modules/django-cleanup/default.nix new file mode 100644 index 00000000000..6484fb8c555 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/django-cleanup/default.nix @@ -0,0 +1,21 @@ +{ stdenv, buildPythonPackage, fetchPypi, django +, redis, async-timeout, hiredis +}: + +buildPythonPackage rec { + pname = "django-cleanup"; + version = "4.0.0"; + src = fetchPypi { + inherit pname version; + sha256 = "195hkany3iwg4wb4cbdrdmanxcahjl87n8v03dbamanx2ya3yb21"; + }; + + checkInputs = [ django ]; + + meta = with stdenv.lib; { + description = "Automatically deletes old file for FileField and ImageField. It also deletes files on models instance deletion"; + homepage = https://github.com/un1t/django-cleanup; + license = licenses.mit; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/django-dynamic-preferences/default.nix b/nixpkgs/pkgs/development/python-modules/django-dynamic-preferences/default.nix new file mode 100644 index 00000000000..28ec9aae24f --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/django-dynamic-preferences/default.nix @@ -0,0 +1,25 @@ +{ stdenv, buildPythonPackage, fetchPypi +, django, persisting-theory, six +}: + +buildPythonPackage rec { + pname = "django-dynamic-preferences"; + version = "1.7.1"; + + src = fetchPypi { + inherit pname version; + sha256 = "1z2dndkpypk4pvb0byh5a771vgp50vn8g1rbk5r3sml6dm4wcn7s"; + }; + + propagatedBuildInputs = [ six django persisting-theory ]; + + # django.core.exceptions.ImproperlyConfigured: Requested setting DYNAMIC_PREFERENCES, but settings are not configured. You must either define the environment variable DJANGO_SETTINGS_MODULE or call settings.configure() before accessing settings + doCheck = false; + + meta = with stdenv.lib; { + homepage = https://github.com/EliotBerriot/django-dynamic-preferences; + description = "Dynamic global and instance settings for your django project"; + license = licenses.bsd3; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/django-filter/default.nix b/nixpkgs/pkgs/development/python-modules/django-filter/default.nix new file mode 100644 index 00000000000..496ccd268f9 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/django-filter/default.nix @@ -0,0 +1,34 @@ +{ stdenv +, buildPythonPackage +, fetchPypi +, django +, djangorestframework, python, mock +}: + +buildPythonPackage rec { + pname = "django-filter"; + version = "2.2.0"; + + src = fetchPypi { + inherit pname version; + sha256 = "057xiijig8r2nxrd9gj1nki168422rsh8ap5vkbr9zyp1mzvbpn3"; + }; + + propagatedBuildInputs = [ django ]; + + # Tests fail (needs the 'crispy_forms' module not packaged on nixos) + doCheck = false; + checkInputs = [ djangorestframework django mock ]; + checkPhase = '' + runHook preCheck + ${python.interpreter} runtests.py tests + runHook postCheck + ''; + + meta = with stdenv.lib; { + description = "Reusable Django application for allowing users to filter querysets dynamically"; + homepage = "https://pypi.org/project/django-filter/"; + license = licenses.bsd3; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/django-oauth-toolkit/default.nix b/nixpkgs/pkgs/development/python-modules/django-oauth-toolkit/default.nix new file mode 100644 index 00000000000..1927e4e9954 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/django-oauth-toolkit/default.nix @@ -0,0 +1,27 @@ +{ stdenv, buildPythonPackage, fetchFromGitHub +, django_2_2, requests, oauthlib +}: + +buildPythonPackage rec { + pname = "django-oauth-toolkit"; + version = "1.2.0"; + + src = fetchFromGitHub { + owner = "jazzband"; + repo = pname; + rev = version; + sha256 = "1zbksxrcxlqnapmlvx4rgvpqc4plgnq0xnf45cjwzwi1626zs8g6"; + }; + + propagatedBuildInputs = [ django_2_2 requests oauthlib ]; + + # django.core.exceptions.ImproperlyConfigured: Requested setting OAUTH2_PROVIDER, but settings are not configured. You must either define the environment variable DJANGO_SETTINGS_MODULE or call settings.configure() before accessing settings + doCheck = false; + + meta = with stdenv.lib; { + description = "OAuth2 goodies for the Djangonauts"; + homepage = https://github.com/jazzband/django-oauth-toolkit; + license = licenses.bsd2; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/django-storages/default.nix b/nixpkgs/pkgs/development/python-modules/django-storages/default.nix new file mode 100644 index 00000000000..a82f9d3fb9b --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/django-storages/default.nix @@ -0,0 +1,25 @@ +{ stdenv, buildPythonPackage, fetchPypi +, django +}: + +buildPythonPackage rec { + pname = "django-storages"; + version = "1.7.2"; + + src = fetchPypi { + inherit pname version; + sha256 = "01xq232h321716r08rari9payas7fsiwwr5q6zgcrlwkckwxxczk"; + }; + + propagatedBuildInputs = [ django ]; + + # django.core.exceptions.ImproperlyConfigured: Requested setting DEFAULT_INDEX_TABLESPACE, but settings are not configured. You must either define the environment variable DJANGO_SETTINGS_MODULE or call settings.configure() before accessing settings. + doCheck = false; + + meta = with stdenv.lib; { + description = "Collection of custom storage backends for Django"; + homepage = https://django-storages.readthedocs.io; + license = licenses.bsd3; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/django-versatileimagefield/default.nix b/nixpkgs/pkgs/development/python-modules/django-versatileimagefield/default.nix new file mode 100644 index 00000000000..d4f46e0355f --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/django-versatileimagefield/default.nix @@ -0,0 +1,31 @@ +{ stdenv +, buildPythonPackage +, fetchPypi +, django +, python +, pillow +}: + +buildPythonPackage rec { + pname = "django-versatileimagefield"; + version = "1.10"; + + src = fetchPypi { + inherit pname version; + sha256 = "0y0r6ssxyg9x1rylpyxg2ha2hl18080k5xp308k4ankpjm50hvc8"; + }; + propagatedBuildInputs = [ pillow ]; + + checkInputs = [ django ]; + + # tests not included with pypi release + doCheck = false; + + meta = with stdenv.lib; { + description = "Replaces django's ImageField with a more flexible interface"; + homepage = "https://github.com/respondcreate/django-versatileimagefield/"; + license = licenses.mit; + maintainers = with maintainers; [ mmai ]; + }; +} + diff --git a/nixpkgs/pkgs/development/python-modules/djangorestframework/default.nix b/nixpkgs/pkgs/development/python-modules/djangorestframework/default.nix index 12dcbf3993a..addff19853d 100644 --- a/nixpkgs/pkgs/development/python-modules/djangorestframework/default.nix +++ b/nixpkgs/pkgs/development/python-modules/djangorestframework/default.nix @@ -1,11 +1,11 @@ { stdenv, buildPythonPackage, fetchPypi, django }: buildPythonPackage rec { - version = "3.9.4"; + version = "3.10.3"; pname = "djangorestframework"; src = fetchPypi { inherit pname version; - sha256 = "c12869cfd83c33d579b17b3cb28a2ae7322a53c3ce85580c2a2ebe4e3f56c4fb"; + sha256 = "140hwz52rlqqc10gbw5bhyyi4zbpqj3z7wgnh2jrhs2wfzwwp0fw"; }; # Test settings are missing diff --git a/nixpkgs/pkgs/development/python-modules/elementpath/default.nix b/nixpkgs/pkgs/development/python-modules/elementpath/default.nix index 1d2d34541fa..697ea742ada 100644 --- a/nixpkgs/pkgs/development/python-modules/elementpath/default.nix +++ b/nixpkgs/pkgs/development/python-modules/elementpath/default.nix @@ -1,17 +1,14 @@ -{ buildPythonPackage -, lib -, fetchFromGitHub -}: +{ lib, buildPythonPackage, fetchFromGitHub }: buildPythonPackage rec { - version = "1.1.8"; + version = "1.3.0"; pname = "elementpath"; src = fetchFromGitHub { owner = "sissaschool"; repo = "elementpath"; rev = "v${version}"; - sha256 = "0krczvf8r6pb3hb8qaxl9h2b4qwg180xk66gyxjf002im7ri75aj"; + sha256 = "0ahqqqpcf3fd6xcdhiwwscincyj6h5xyjaacnqxwph1y1b8mnzyw"; }; # avoid circular dependency with xmlschema which directly depends on this diff --git a/nixpkgs/pkgs/development/python-modules/flaky/default.nix b/nixpkgs/pkgs/development/python-modules/flaky/default.nix index 523e6ac53e6..c4e803245d5 100644 --- a/nixpkgs/pkgs/development/python-modules/flaky/default.nix +++ b/nixpkgs/pkgs/development/python-modules/flaky/default.nix @@ -2,22 +2,29 @@ , buildPythonPackage , fetchPypi , mock +, nose , pytest }: buildPythonPackage rec { pname = "flaky"; - version = "3.5.3"; + version = "3.6.1"; src = fetchPypi { inherit pname version; - sha256 = "12bd5e41f372b2190e8d754b6e5829c2f11dbc764e10b30f57e59f829c9ca1da"; + sha256 = "8cd5455bb00c677f787da424eaf8c4a58a922d0e97126d3085db5b279a98b698"; }; - buildInputs = [ mock pytest ]; + checkInputs = [ mock nose pytest ]; - # waiting for feedback https://github.com/box/flaky/issues/97 - doCheck = false; + checkPhase = '' + # based on tox.ini + pytest -k 'example and not options' --doctest-modules test/test_pytest/ + pytest -k 'example and not options' test/test_pytest/ + pytest -p no:flaky test/test_pytest/test_flaky_pytest_plugin.py + nosetests --with-flaky --force-flaky --max-runs 2 test/test_nose/test_nose_options_example.py + pytest --force-flaky --max-runs 2 test/test_pytest/test_pytest_options_example.py + ''; meta = with stdenv.lib; { homepage = https://github.com/box/flaky; diff --git a/nixpkgs/pkgs/development/python-modules/fs-s3fs/default.nix b/nixpkgs/pkgs/development/python-modules/fs-s3fs/default.nix index 26277161b0b..c32489547ce 100644 --- a/nixpkgs/pkgs/development/python-modules/fs-s3fs/default.nix +++ b/nixpkgs/pkgs/development/python-modules/fs-s3fs/default.nix @@ -2,11 +2,11 @@ buildPythonPackage rec { pname = "fs-s3fs"; - version = "1.1.0"; + version = "1.1.1"; src = fetchPypi { inherit pname version; - sha256 = "49bfa4572bb11e37324dd43be935ab99376259eff652365aef0e4a747bb11418"; + sha256 = "0v4pqyflkpz6sp1884jfsxsa68wnxai4rd5la6sgf3s6civ8qzxm"; }; propagatedBuildInputs = [ fs six boto3 ]; diff --git a/nixpkgs/pkgs/development/python-modules/geographiclib/default.nix b/nixpkgs/pkgs/development/python-modules/geographiclib/default.nix new file mode 100644 index 00000000000..0c275b672ab --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/geographiclib/default.nix @@ -0,0 +1,22 @@ +{ stdenv +, buildPythonPackage +, fetchPypi +}: + +buildPythonPackage rec { + pname = "geographiclib"; + version = "1.50"; + + src = fetchPypi { + inherit pname version; + sha256 = "0cn6ap5fkh3mkfa57l5b44z3gvz7j6lpmc9rl4g2jny2gvp4dg8j"; + }; + + meta = with stdenv.lib; { + homepage = "https://geographiclib.sourceforge.io"; + description = "Algorithms for geodesics (Karney, 2013) for solving the direct and inverse problems for an ellipsoid of revolution"; + license = licenses.mit; + maintainers = with maintainers; [ va1entin ]; + }; + +} diff --git a/nixpkgs/pkgs/development/python-modules/geoip2/default.nix b/nixpkgs/pkgs/development/python-modules/geoip2/default.nix index 97371d1b65a..5d26793250c 100644 --- a/nixpkgs/pkgs/development/python-modules/geoip2/default.nix +++ b/nixpkgs/pkgs/development/python-modules/geoip2/default.nix @@ -23,7 +23,7 @@ buildPythonPackage rec { meta = with lib; { description = "MaxMind GeoIP2 API"; homepage = "https://www.maxmind.com/en/home"; - license = licenses.apsl20; + license = licenses.asl20; maintainers = with maintainers; [ ]; }; } diff --git a/nixpkgs/pkgs/development/python-modules/geopandas/default.nix b/nixpkgs/pkgs/development/python-modules/geopandas/default.nix index de313dc1c7d..7436626fc74 100644 --- a/nixpkgs/pkgs/development/python-modules/geopandas/default.nix +++ b/nixpkgs/pkgs/development/python-modules/geopandas/default.nix @@ -4,13 +4,13 @@ buildPythonPackage rec { pname = "geopandas"; - version = "0.5.1"; + version = "0.6.1"; src = fetchFromGitHub { owner = "geopandas"; repo = "geopandas"; rev = "v${version}"; - sha256 = "1j665fpkyfib17z0hn3bg2j96pbkgd36yfif6jyia4yn6g76hlfg"; + sha256 = "0bdgphw43m2nrgcp83j1pnxknnzahm2zmdr55hyz3jjkva7m6dpk"; }; checkInputs = [ pytest Rtree ]; diff --git a/nixpkgs/pkgs/development/python-modules/glasgow/default.nix b/nixpkgs/pkgs/development/python-modules/glasgow/default.nix index 6e314668fae..7717682afda 100644 --- a/nixpkgs/pkgs/development/python-modules/glasgow/default.nix +++ b/nixpkgs/pkgs/development/python-modules/glasgow/default.nix @@ -18,15 +18,15 @@ buildPythonPackage rec { pname = "glasgow"; - version = "unstable-2019-09-28"; - # python setup.py --version - realVersion = "0.1.dev1234+g${lib.substring 0 7 src.rev}"; + version = "unstable-2019-10-16"; + # python software/setup.py --version + realVersion = "0.1.dev1286+g${lib.substring 0 7 src.rev}"; src = fetchFromGitHub { owner = "GlasgowEmbedded"; - repo = "Glasgow"; - rev = "a1cc0333315847980806fd0330021c6de05c5395"; - sha256 = "0rdx7fymz828i73bc559sr67aikydz1m8s2a0i6c86gznh1s3cfk"; + repo = "glasgow"; + rev = "4f968dbe6cf4e9d8e2d0a5163d82e996c24d5e30"; + sha256 = "1b50n12dc0b3jmim5ywg7daq62k5j4wkgmwzk88ric5ri3b8dl2r"; }; nativeBuildInputs = [ setuptools_scm sdcc ]; @@ -42,6 +42,8 @@ buildPythonPackage rec { crcmod ]; + checkInputs = [ yosys icestorm nextpnr ]; + preBuild = '' make -C firmware LIBFX2=${fx2}/share/libfx2 cp firmware/glasgow.ihex software/glasgow @@ -56,6 +58,12 @@ buildPythonPackage rec { python -m unittest discover ''; + makeWrapperArgs = [ + "--set" "YOSYS" "${yosys}/bin/yosys" + "--set" "ICEPACK" "${icestorm}/bin/icepack" + "--set" "NEXTPNR_ICE40" "${nextpnr}/bin/nextpnr-ice40" + ]; + meta = with lib; { description = "Software for Glasgow, a digital interface multitool"; homepage = https://github.com/GlasgowEmbedded/Glasgow; diff --git a/nixpkgs/pkgs/development/python-modules/goobook/default.nix b/nixpkgs/pkgs/development/python-modules/goobook/default.nix index 4e14b14c2aa..a04bf6250e9 100644 --- a/nixpkgs/pkgs/development/python-modules/goobook/default.nix +++ b/nixpkgs/pkgs/development/python-modules/goobook/default.nix @@ -1,5 +1,5 @@ { stdenv, buildPythonPackage, fetchPypi, isPy3k -, google_api_python_client, simplejson, oauth2client +, google_api_python_client, simplejson, oauth2client, setuptools }: buildPythonPackage rec { @@ -12,7 +12,9 @@ buildPythonPackage rec { sha256 = "089a95s6g9izsy1fzpz48p6pz0wpngcbbrvsillm1n53492gfhjg"; }; - propagatedBuildInputs = [ google_api_python_client simplejson oauth2client ]; + propagatedBuildInputs = [ + google_api_python_client simplejson oauth2client setuptools + ]; meta = with stdenv.lib; { description = "Search your google contacts from the command-line or mutt"; diff --git a/nixpkgs/pkgs/development/python-modules/google_api_core/default.nix b/nixpkgs/pkgs/development/python-modules/google_api_core/default.nix index 5cb027eef46..c96230bcf18 100644 --- a/nixpkgs/pkgs/development/python-modules/google_api_core/default.nix +++ b/nixpkgs/pkgs/development/python-modules/google_api_core/default.nix @@ -1,5 +1,5 @@ { lib, buildPythonPackage, fetchPypi, pythonOlder -, google_auth, protobuf, googleapis_common_protos, requests, grpcio, futures, mock, pytest }: +, google_auth, protobuf, googleapis_common_protos, requests, setuptools, grpcio, futures, mock, pytest }: buildPythonPackage rec { pname = "google-api-core"; @@ -12,7 +12,7 @@ buildPythonPackage rec { propagatedBuildInputs = [ googleapis_common_protos protobuf - google_auth requests grpcio + google_auth requests setuptools grpcio ] ++ lib.optional (pythonOlder "3.2") futures; checkInputs = [ mock pytest ]; diff --git a/nixpkgs/pkgs/development/python-modules/google_auth/default.nix b/nixpkgs/pkgs/development/python-modules/google_auth/default.nix index 315d4756e0d..01797673fba 100644 --- a/nixpkgs/pkgs/development/python-modules/google_auth/default.nix +++ b/nixpkgs/pkgs/development/python-modules/google_auth/default.nix @@ -1,5 +1,5 @@ { stdenv, buildPythonPackage, fetchpatch, fetchPypi -, pytest, mock, oauth2client, flask, requests, urllib3, pytest-localserver, six, pyasn1-modules, cachetools, rsa }: +, pytest, mock, oauth2client, flask, requests, setuptools, urllib3, pytest-localserver, six, pyasn1-modules, cachetools, rsa }: buildPythonPackage rec { pname = "google-auth"; @@ -18,7 +18,7 @@ buildPythonPackage rec { ]; checkInputs = [ pytest mock oauth2client flask requests urllib3 pytest-localserver ]; - propagatedBuildInputs = [ six pyasn1-modules cachetools rsa ]; + propagatedBuildInputs = [ six pyasn1-modules cachetools rsa setuptools ]; # The removed test tests the working together of google_auth and google's https://pypi.python.org/pypi/oauth2client # but the latter is deprecated. Since it is not currently part of the nixpkgs collection and deprecated it will diff --git a/nixpkgs/pkgs/development/python-modules/google_cloud_core/default.nix b/nixpkgs/pkgs/development/python-modules/google_cloud_core/default.nix index c57433a3ebd..3aafa3e2687 100644 --- a/nixpkgs/pkgs/development/python-modules/google_cloud_core/default.nix +++ b/nixpkgs/pkgs/development/python-modules/google_cloud_core/default.nix @@ -1,5 +1,5 @@ { stdenv, buildPythonPackage, fetchPypi -, google_api_core, grpcio, pytest, mock }: +, google_api_core, grpcio, pytest, mock, setuptools }: buildPythonPackage rec { pname = "google-cloud-core"; @@ -10,7 +10,7 @@ buildPythonPackage rec { sha256 = "d85b1aaaf3bad9415ad1d8ee5eadce96d7007a82f13ce0a0629a003a11e83f29"; }; - propagatedBuildInputs = [ google_api_core grpcio ]; + propagatedBuildInputs = [ google_api_core grpcio setuptools ]; checkInputs = [ pytest mock ]; checkPhase = '' diff --git a/nixpkgs/pkgs/development/python-modules/google_cloud_storage/default.nix b/nixpkgs/pkgs/development/python-modules/google_cloud_storage/default.nix index efb0c6aacd8..bdd9049e7d4 100644 --- a/nixpkgs/pkgs/development/python-modules/google_cloud_storage/default.nix +++ b/nixpkgs/pkgs/development/python-modules/google_cloud_storage/default.nix @@ -6,6 +6,7 @@ , google_cloud_core , pytest , mock +, setuptools }: buildPythonPackage rec { @@ -17,8 +18,13 @@ buildPythonPackage rec { sha256 = "8032e576e2f91a1d3de2355118040c3bcd9916e0453a6b3f64c1b42ed151690a"; }; + propagatedBuildInputs = [ + google_resumable_media + google_api_core + google_cloud_core + setuptools + ]; checkInputs = [ pytest mock ]; - propagatedBuildInputs = [ google_resumable_media google_api_core google_cloud_core ]; checkPhase = '' pytest tests/unit diff --git a/nixpkgs/pkgs/development/python-modules/google_resumable_media/default.nix b/nixpkgs/pkgs/development/python-modules/google_resumable_media/default.nix index 096f93b017f..afc1a43660f 100644 --- a/nixpkgs/pkgs/development/python-modules/google_resumable_media/default.nix +++ b/nixpkgs/pkgs/development/python-modules/google_resumable_media/default.nix @@ -3,6 +3,7 @@ , fetchPypi , six , requests +, setuptools , pytest , mock }: @@ -17,7 +18,7 @@ buildPythonPackage rec { }; checkInputs = [ pytest mock ]; - propagatedBuildInputs = [ six requests ]; + propagatedBuildInputs = [ requests setuptools six ]; checkPhase = '' py.test tests/unit diff --git a/nixpkgs/pkgs/development/python-modules/googleapis_common_protos/default.nix b/nixpkgs/pkgs/development/python-modules/googleapis_common_protos/default.nix index 337624012aa..2ae649b7432 100644 --- a/nixpkgs/pkgs/development/python-modules/googleapis_common_protos/default.nix +++ b/nixpkgs/pkgs/development/python-modules/googleapis_common_protos/default.nix @@ -1,5 +1,5 @@ { stdenv, buildPythonPackage, fetchPypi -, protobuf, pytest }: +, protobuf, pytest, setuptools }: buildPythonPackage rec { pname = "googleapis-common-protos"; @@ -10,7 +10,7 @@ buildPythonPackage rec { sha256 = "d564872083af40bbcc7091340f17db778a316525c7c76497d58d11b98ca2aa74"; }; - propagatedBuildInputs = [ protobuf ]; + propagatedBuildInputs = [ protobuf setuptools ]; checkInputs = [ pytest ]; doCheck = false; # there are no tests diff --git a/nixpkgs/pkgs/development/python-modules/gsd/1.7.nix b/nixpkgs/pkgs/development/python-modules/gsd/1.7.nix new file mode 100644 index 00000000000..567cc6f8930 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/gsd/1.7.nix @@ -0,0 +1,27 @@ +{ stdenv +, buildPythonPackage +, fetchPypi +, numpy +}: + +buildPythonPackage rec { + version = "1.7.0"; + pname = "gsd"; + + src = fetchPypi { + inherit pname version; + sha256 = "0fpk69wachyydpk9cbs901m7hkwrrvq24ykxsrz62km9ql8lr2vp"; + }; + + propagatedBuildInputs = [ numpy ]; + + # tests not packaged with gsd + doCheck = false; + + meta = with stdenv.lib; { + homepage = https://bitbucket.org/glotzer/gsd; + description = "General simulation data file format"; + license = licenses.bsd2; + maintainers = [ maintainers.costrouc ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/gsd/default.nix b/nixpkgs/pkgs/development/python-modules/gsd/default.nix index 567cc6f8930..8eefb1f4318 100644 --- a/nixpkgs/pkgs/development/python-modules/gsd/default.nix +++ b/nixpkgs/pkgs/development/python-modules/gsd/default.nix @@ -1,26 +1,30 @@ -{ stdenv -, buildPythonPackage -, fetchPypi +{ lib, buildPythonPackage, fetchFromGitHub, isPy27 , numpy +, pytest }: buildPythonPackage rec { - version = "1.7.0"; + version = "1.9.3"; pname = "gsd"; + disabled = isPy27; - src = fetchPypi { - inherit pname version; - sha256 = "0fpk69wachyydpk9cbs901m7hkwrrvq24ykxsrz62km9ql8lr2vp"; + src = fetchFromGitHub { + owner = "glotzerlab"; + repo = pname; + rev = "v${version}"; + sha256 = "07hw29r2inyp493dia4fx3ysfr1wxi2jb3n9cmwdi0l54s2ahqvf"; }; propagatedBuildInputs = [ numpy ]; - # tests not packaged with gsd - doCheck = false; + checkInputs = [ pytest ]; + checkPhase = '' + pytest + ''; - meta = with stdenv.lib; { - homepage = https://bitbucket.org/glotzer/gsd; + meta = with lib; { description = "General simulation data file format"; + homepage = "https://github.com/glotzerlab/gsd"; license = licenses.bsd2; maintainers = [ maintainers.costrouc ]; }; diff --git a/nixpkgs/pkgs/development/python-modules/hcloud/default.nix b/nixpkgs/pkgs/development/python-modules/hcloud/default.nix index 8d8e2c50689..fdc6f4e59cc 100644 --- a/nixpkgs/pkgs/development/python-modules/hcloud/default.nix +++ b/nixpkgs/pkgs/development/python-modules/hcloud/default.nix @@ -12,11 +12,11 @@ buildPythonPackage rec { pname = "hcloud"; - version = "1.6.1"; + version = "1.6.2"; src = fetchPypi { inherit pname version; - sha256 = "1skqq36k1dm7mngfg9c93pb1rdvmbapc0cv8zj00hnm63r67hmrj"; + sha256 = "1x18yqxa6a884j0ip92kgl5s8c77z6f4pvnq6q4lzisyffkyq6c7"; }; propagatedBuildInputs = [ future requests python-dateutil ]; diff --git a/nixpkgs/pkgs/development/python-modules/hiredis/default.nix b/nixpkgs/pkgs/development/python-modules/hiredis/default.nix new file mode 100644 index 00000000000..4403071cb8f --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/hiredis/default.nix @@ -0,0 +1,29 @@ +{ stdenv +, buildPythonPackage +, fetchPypi +, redis +, python +}: + +buildPythonPackage rec { + pname = "hiredis"; + version = "1.0.0"; + + src = fetchPypi { + inherit pname version; + sha256 = "158pymdlnv4d218w66i8kzdn4ka30l1pdwa0wyjh16bj10zraz79"; + }; + propagatedBuildInputs = [ redis ]; + + checkPhase = '' + ${python.interpreter} test.py + ''; + + meta = with stdenv.lib; { + description = "Wraps protocol parsing code in hiredis, speeds up parsing of multi bulk replies"; + homepage = "https://github.com/redis/hiredis-py"; + license = licenses.bsd3; + maintainers = with maintainers; [ mmai ]; + }; +} + diff --git a/nixpkgs/pkgs/development/python-modules/ijson/default.nix b/nixpkgs/pkgs/development/python-modules/ijson/default.nix index eb10edeaca9..8110a646bc4 100644 --- a/nixpkgs/pkgs/development/python-modules/ijson/default.nix +++ b/nixpkgs/pkgs/development/python-modules/ijson/default.nix @@ -2,11 +2,11 @@ buildPythonPackage rec { pname = "ijson"; - version = "2.4"; + version = "2.5"; src = fetchPypi { inherit pname version; - sha256 = "135rwh7izzmj4lwkrfb9xw4ik0gcwjz34ygnmx3vyvki2xbbp2xp"; + sha256 = "08ppzz4my7dbs5bsdv3r1yn8bx8ijqmk5hjfdblrzrxhj184v4bs"; }; doCheck = false; # something about yajl diff --git a/nixpkgs/pkgs/development/python-modules/managesieve/default.nix b/nixpkgs/pkgs/development/python-modules/managesieve/default.nix new file mode 100644 index 00000000000..e23c3621c2e --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/managesieve/default.nix @@ -0,0 +1,26 @@ +{ lib +, buildPythonPackage +, fetchPypi +, pytestrunner +, pytest +}: + +buildPythonPackage rec { + pname = "managesieve"; + version = "0.6"; + + src = fetchPypi { + inherit pname version; + sha256 = "ee70e298e9b68eb81f93d52a1320a034fdc182f3927fdd551836fc93b0ed2c5f"; + }; + + checkInputs = [ pytestrunner pytest ]; + + meta = with lib; { + description = "ManageSieve client library for remotely managing Sieve scripts"; + homepage = "https://managesieve.readthedocs.io/"; + # PSFL for the python module, GPLv3 for sieveshell + license = with licenses; [ gpl3 psfl ]; + maintainers = with maintainers; [ dadada ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/matplotlib/default.nix b/nixpkgs/pkgs/development/python-modules/matplotlib/default.nix index bb7e75449c8..ab03149e72d 100644 --- a/nixpkgs/pkgs/development/python-modules/matplotlib/default.nix +++ b/nixpkgs/pkgs/development/python-modules/matplotlib/default.nix @@ -6,7 +6,7 @@ , enableGtk3 ? false, cairo # darwin has its own "MacOSX" backend , enableTk ? !stdenv.isDarwin, tcl ? null, tk ? null, tkinter ? null, libX11 ? null -, enableQt ? false, pyqt4 +, enableQt ? false, pyqt5 ? null , libcxx , Cocoa , pythonOlder @@ -19,7 +19,7 @@ assert enableTk -> (tcl != null) && (tkinter != null) && (libX11 != null) ; -assert enableQt -> pyqt4 != null; +assert enableQt -> pyqt5 != null; buildPythonPackage rec { version = "3.1.1"; @@ -49,7 +49,7 @@ buildPythonPackage rec { ++ stdenv.lib.optional enableGtk2 pygtk ++ stdenv.lib.optionals enableGtk3 [ cairo pycairo gtk3 gobject-introspection pygobject3 ] ++ stdenv.lib.optionals enableTk [ tcl tk tkinter libX11 ] - ++ stdenv.lib.optionals enableQt [ pyqt4 ]; + ++ stdenv.lib.optionals enableQt [ pyqt5 ]; patches = [ ./basedirlist.patch ]; diff --git a/nixpkgs/pkgs/development/python-modules/maxminddb/default.nix b/nixpkgs/pkgs/development/python-modules/maxminddb/default.nix index c24823d1812..645ecddd163 100644 --- a/nixpkgs/pkgs/development/python-modules/maxminddb/default.nix +++ b/nixpkgs/pkgs/development/python-modules/maxminddb/default.nix @@ -5,12 +5,12 @@ }: buildPythonPackage rec { - version = "1.4.1"; + version = "1.5.1"; pname = "maxminddb"; src = fetchPypi { inherit pname version; - sha256 = "04mpilsj76m29id5xfi8mmasdmh27ldn7r0dmh2rj6a8v2y5256z"; + sha256 = "0y9giw81k4wdmpryr4k42w50z292mf364a6vs1vxf83ksc9ig6j4"; }; propagatedBuildInputs = [ ipaddress ]; @@ -20,7 +20,7 @@ buildPythonPackage rec { meta = with lib; { description = "Reader for the MaxMind DB format"; homepage = "https://www.maxmind.com/en/home"; - license = licenses.apsl20; + license = licenses.asl20; maintainers = with maintainers; [ ]; }; } diff --git a/nixpkgs/pkgs/development/python-modules/nmigen-boards/default.nix b/nixpkgs/pkgs/development/python-modules/nmigen-boards/default.nix index 7f4654eab79..6e51ae07731 100644 --- a/nixpkgs/pkgs/development/python-modules/nmigen-boards/default.nix +++ b/nixpkgs/pkgs/development/python-modules/nmigen-boards/default.nix @@ -8,15 +8,15 @@ buildPythonPackage rec { pname = "nmigen-boards"; - version = "unstable-2019-09-23"; + version = "unstable-2019-10-13"; # python setup.py --version - realVersion = "0.1.dev55+g${lib.substring 0 7 src.rev}"; + realVersion = "0.1.dev79+g${lib.substring 0 7 src.rev}"; src = fetchFromGitHub { owner = "m-labs"; repo = "nmigen-boards"; - rev = "b8b2bbaff34c905f2b1094a74b6865961feb2290"; - sha256 = "00gsdm7qf6gsxqmkgqz1ing1yc0352s14pvw863rdbjbd1bv5r0m"; + rev = "835c175d7cf9d143aea2c7dbc0c870ede655cfc2"; + sha256 = "1mbxgfv6k9i3668lr1b3hrvial2vznvgn4ckjzc36hhizp47ypzw"; }; nativeBuildInputs = [ setuptools_scm ]; diff --git a/nixpkgs/pkgs/development/python-modules/nmigen/default.nix b/nixpkgs/pkgs/development/python-modules/nmigen/default.nix index 02569e0a81b..8269c07cba0 100644 --- a/nixpkgs/pkgs/development/python-modules/nmigen/default.nix +++ b/nixpkgs/pkgs/development/python-modules/nmigen/default.nix @@ -8,28 +8,23 @@ , bitarray , jinja2 -# nmigen.{test,build} call out to these +# for tests , yosys , symbiyosys -, nextpnr ? null -, icestorm ? null -, trellis ? null - -# for tests , yices }: buildPythonPackage rec { pname = "nmigen"; - version = "unstable-2019-09-28"; + version = "unstable-2019-10-17"; # python setup.py --version - realVersion = "0.1.dev689+g${lib.substring 0 7 src.rev}"; + realVersion = "0.1.rc2.dev5+g${lib.substring 0 7 src.rev}"; src = fetchFromGitHub { owner = "m-labs"; repo = "nmigen"; - rev = "a02e3750bfeba44bcaad4c5de8d9eb0ef055d9c6"; - sha256 = "0m399c2nm7y54q2f0fbkmi4h35csbc2llckm6k9kqdf5qc6355wd"; + rev = "9fba5ccb513cfbd53f884b1efca699352d2471b9"; + sha256 = "02bjry4sqjsrhl0s42zl1zl06gk5na9i6br6vmz7fvxic29vl83v"; }; disabled = pythonOlder "3.6"; @@ -38,25 +33,7 @@ buildPythonPackage rec { propagatedBuildInputs = [ setuptools pyvcd bitarray jinja2 ]; - checkInputs = [ yosys yices ]; - - postPatch = let - tool = pkg: name: - if pkg == null then {} else { ${name} = "${pkg}/bin/${name}"; }; - - # Only FOSS toolchain supported out of the box, sorry! - toolchainOverrides = - tool yosys "yosys" // - tool symbiyosys "sby" // - tool nextpnr "nextpnr-ice40" // - tool nextpnr "nextpnr-ecp5" // - tool icestorm "icepack" // - tool trellis "ecppack"; - in '' - substituteInPlace nmigen/_toolchain.py \ - --replace 'overrides = {}' \ - 'overrides = ${builtins.toJSON toolchainOverrides}' - ''; + checkInputs = [ yosys symbiyosys yices ]; preBuild = '' export SETUPTOOLS_SCM_PRETEND_VERSION="${realVersion}" diff --git a/nixpkgs/pkgs/development/python-modules/pathspec/default.nix b/nixpkgs/pkgs/development/python-modules/pathspec/default.nix index 8fb6259c574..caef384d279 100644 --- a/nixpkgs/pkgs/development/python-modules/pathspec/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pathspec/default.nix @@ -5,11 +5,11 @@ buildPythonPackage rec { pname = "pathspec"; - version = "0.5.9"; + version = "0.6.0"; src = fetchPypi { inherit pname version; - sha256 = "54a5eab895d89f342b52ba2bffe70930ef9f8d96e398cccf530d21fa0516a873"; + sha256 = "0p7ab7jx3wgg7xdj2q8yk99cz3xv2a5p1r8q9kfylnvqn34cr1g2"; }; meta = { diff --git a/nixpkgs/pkgs/development/python-modules/persisting-theory/default.nix b/nixpkgs/pkgs/development/python-modules/persisting-theory/default.nix new file mode 100644 index 00000000000..3e729c2149e --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/persisting-theory/default.nix @@ -0,0 +1,24 @@ +{ stdenv, buildPythonPackage, fetchPypi +, nose +}: + +buildPythonPackage rec { + pname = "persisting-theory"; + version = "0.2.1"; + + src = fetchPypi { + inherit pname version; + sha256 = "02hcg7js23yjyw6gwxqzvyv2b1wfjrypk98cfxfgf7s8iz67vzq0"; + }; + + checkInputs = [ nose ]; + + checkPhase = "nosetests"; + + meta = with stdenv.lib; { + homepage = https://code.eliotberriot.com/eliotberriot/persisting-theory; + description = "Automate data discovering and access inside a list of packages"; + license = licenses.bsd3; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/pgsanity/default.nix b/nixpkgs/pkgs/development/python-modules/pgsanity/default.nix index c6fa96bccf7..f7212493e59 100644 --- a/nixpkgs/pkgs/development/python-modules/pgsanity/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pgsanity/default.nix @@ -17,6 +17,7 @@ buildPythonPackage rec { ${python.interpreter} -m unittest discover -s test ''; + checkInputs = [ postgresql ]; propagatedBuildInputs = [ postgresql ]; meta = with stdenv.lib; { @@ -24,13 +25,12 @@ buildPythonPackage rec { description = "Checks the syntax of Postgresql SQL files"; longDescription = '' PgSanity checks the syntax of Postgresql SQL files by - taking a file that has a list of bare SQL in it, - making that file look like a C file with embedded SQL, - run it through ecpg and + taking a file that has a list of bare SQL in it, + making that file look like a C file with embedded SQL, + run it through ecpg and let ecpg report on the syntax errors of the SQL. ''; license = stdenv.lib.licenses.mit; maintainers = with maintainers; [ nalbyuites ]; - broken = true; }; } diff --git a/nixpkgs/pkgs/development/python-modules/pyannotate/default.nix b/nixpkgs/pkgs/development/python-modules/pyannotate/default.nix index db48bb06bc0..a09463a76d3 100644 --- a/nixpkgs/pkgs/development/python-modules/pyannotate/default.nix +++ b/nixpkgs/pkgs/development/python-modules/pyannotate/default.nix @@ -9,12 +9,12 @@ }: buildPythonPackage rec { - version = "1.0.7"; + version = "1.2.0"; pname = "pyannotate"; src = fetchPypi { inherit pname version; - sha256 = "54e6035a8601248992e17734034e6555842c6ea9863f90c15d14fe76a184be07"; + sha256 = "16bm0mf7wxvy0lgmcs1p8n1ji8pnvj1jvj8zk3am70dkp825iv84"; }; checkInputs = [ pytest ]; diff --git a/nixpkgs/pkgs/development/python-modules/pyhaversion/default.nix b/nixpkgs/pkgs/development/python-modules/pyhaversion/default.nix new file mode 100644 index 00000000000..73de6ba0839 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/pyhaversion/default.nix @@ -0,0 +1,43 @@ +{ lib +, buildPythonPackage +, fetchPypi +# propagatedBuildInputs +, aiohttp +, async-timeout +# buildInputs +, pytestrunner +# checkInputs +, pytest +, pytest-asyncio +, aresponses +}: +buildPythonPackage rec { + pname = "pyhaversion"; + version = "3.1.0"; + + src = fetchPypi { + inherit pname version; + sha256 = "1d4smpzlaw0sqfgkgvhxsn8h7bmwj8h9gj98sdzvkzhp5vhd96b2"; + }; + + propagatedBuildInputs = [ + aiohttp + async-timeout + ]; + + buildInputs = [ + pytestrunner + ]; + + checkInputs = [ + pytest + pytest-asyncio + aresponses + ]; + + meta = with lib; { + description = "A python module to the newest version number of Home Assistant"; + homepage = https://github.com/ludeeus/pyhaversion; + maintainers = [ maintainers.makefu ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/pymemoize/default.nix b/nixpkgs/pkgs/development/python-modules/pymemoize/default.nix new file mode 100644 index 00000000000..4efafeaaca4 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/pymemoize/default.nix @@ -0,0 +1,29 @@ +{ stdenv +, buildPythonPackage +, fetchPypi +, django +}: + +buildPythonPackage rec { + pname = "pymemoize"; + version = "1.0.3"; + + src = fetchPypi { + inherit version; + pname = "PyMemoize"; + sha256 = "0yqr60hm700zph6nv8wb6yp2s0i08mahxvw98bvkmw5ijbsviiq7"; + }; + + checkInputs = [ django ]; + + # django.core.exceptions.ImproperlyConfigured: Requested settings, but settings are not configured. You must either define the environment variable DJANGO_SETTINGS_MODULE or call settings.configure() before accessing settings + doCheck = false; + + meta = with stdenv.lib; { + description = "Simple Python cache and memoizing module"; + homepage = "https://github.com/mikeboers/PyMemoize"; + license = licenses.bsd3; + maintainers = with maintainers; [ mmai ]; + }; +} + diff --git a/nixpkgs/pkgs/development/python-modules/pytmx/default.nix b/nixpkgs/pkgs/development/python-modules/pytmx/default.nix new file mode 100644 index 00000000000..0745aacc378 --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/pytmx/default.nix @@ -0,0 +1,33 @@ +{ lib, fetchFromGitHub, isPy3k, buildPythonPackage, pygame, pyglet, pysdl2, six }: + +buildPythonPackage rec { + pname = "pytmx"; + version = "3.21.7"; + + src = fetchFromGitHub { + # The release was not git tagged. + owner = "bitcraft"; + repo = "PyTMX"; + rev = "38519b94ab9a2db7cacb8e18de4d83750ec6fac2"; + sha256 = "0p2gc6lgian1yk4qvhbkxfkmndf9ras70amigqzzwr02y2jvq7j8"; + }; + + propagatedBuildInputs = [ pygame pyglet pysdl2 six ]; + + # The tests are failing for Python 2.7. + doCheck = isPy3k; + checkPhase = '' + # The following test imports an example file from the current working + # directory. Thus, we're cd'ing into the test directory. + + cd tests/ + python -m unittest test_pytmx + ''; + + meta = with lib; { + homepage = "https://github.com/bitcraft/PyTMX"; + description = "Python library to read Tiled Map Editor's TMX maps"; + license = licenses.lgpl3; + maintainers = with maintainers; [ geistesk ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/requests-http-signature/default.nix b/nixpkgs/pkgs/development/python-modules/requests-http-signature/default.nix new file mode 100644 index 00000000000..992c54930ca --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/requests-http-signature/default.nix @@ -0,0 +1,32 @@ +{ stdenv +, buildPythonPackage +, fetchFromGitHub +, requests +, python +}: + +buildPythonPackage rec { + pname = "requests-http-signature"; + version = "0.1.0"; + + # .pem files for tests aren't present on PyPI + src = fetchFromGitHub { + owner = "pyauth"; + repo = pname; + rev = "v${version}"; + sha256 = "0y96wsbci296m1rcxx0ybx8r44rdvyb59p1jl27p7rgz7isr3kx1"; + }; + + propagatedBuildInputs = [ requests ]; + + checkPhase = '' + ${python.interpreter} test/test.py + ''; + + meta = with stdenv.lib; { + description = "A Requests auth module for HTTP Signature"; + homepage = "https://github.com/kislyuk/requests-http-signature"; + license = licenses.asl20; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/s3transfer/default.nix b/nixpkgs/pkgs/development/python-modules/s3transfer/default.nix index 7589d0fce73..0c227bc6377 100644 --- a/nixpkgs/pkgs/development/python-modules/s3transfer/default.nix +++ b/nixpkgs/pkgs/development/python-modules/s3transfer/default.nix @@ -21,7 +21,7 @@ buildPythonPackage rec { sha256 = "f23d5cb7d862b104401d9021fc82e5fa0e0cf57b7660a1331425aab0c691d021"; }; - foo = 1; + outputs = [ "out" "dev" ]; propagatedBuildInputs = [ botocore diff --git a/nixpkgs/pkgs/development/python-modules/sqlalchemy/default.nix b/nixpkgs/pkgs/development/python-modules/sqlalchemy/default.nix index beb19b64f5f..3d76f83c1ee 100644 --- a/nixpkgs/pkgs/development/python-modules/sqlalchemy/default.nix +++ b/nixpkgs/pkgs/development/python-modules/sqlalchemy/default.nix @@ -1,4 +1,4 @@ -{ lib, fetchPypi, buildPythonPackage, isPy3k +{ lib, fetchPypi, buildPythonPackage, isPy3k, isPy35 , mock , pysqlite , pytest @@ -22,7 +22,9 @@ buildPythonPackage rec { sed -e 's:--max-worker-restart=5::g' -i setup.cfg ''; - checkPhase = '' + checkPhase = if isPy35 then '' + pytest test -k 'not exception_persistent_flush_py3k' + '' else '' pytest test ''; diff --git a/nixpkgs/pkgs/development/python-modules/tables/default.nix b/nixpkgs/pkgs/development/python-modules/tables/default.nix index 7b1b4f7c36f..f55d2285d03 100644 --- a/nixpkgs/pkgs/development/python-modules/tables/default.nix +++ b/nixpkgs/pkgs/development/python-modules/tables/default.nix @@ -1,6 +1,8 @@ { stdenv, fetchPypi, python, buildPythonPackage , cython, bzip2, lzo, numpy, numexpr, hdf5, six, c-blosc, mock }: +with stdenv.lib; + buildPythonPackage rec { version = "3.5.2"; pname = "tables"; @@ -15,12 +17,12 @@ buildPythonPackage rec { # The setup script complains about missing run-paths, but they are # actually set. - setupPyBuildFlags = - [ "--hdf5=${hdf5}" - "--lzo=${lzo}" - "--bzip2=${bzip2.dev}" - "--blosc=${c-blosc}" - ]; + setupPyBuildFlags = [ + "--hdf5=${getDev hdf5}" + "--lzo=${getDev lzo}" + "--bzip2=${getDev bzip2}" + "--blosc=${getDev c-blosc}" + ]; # Run the test suite. # It requires the build path to be in the python search path. diff --git a/nixpkgs/pkgs/development/python-modules/tasklib/default.nix b/nixpkgs/pkgs/development/python-modules/tasklib/default.nix new file mode 100644 index 00000000000..73b92ea6d4b --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/tasklib/default.nix @@ -0,0 +1,36 @@ +{ lib, pythonPackages, taskwarrior, writeShellScriptBin }: + +with pythonPackages; + +let + +wsl_stub = writeShellScriptBin "wsl" "true"; + +in buildPythonPackage rec { + pname = "tasklib"; + version = "1.2.1"; + + src = fetchPypi { + inherit pname version; + sha256 = "3964fb7e87f86dc5e2708addb67e69d0932534991991b6bae2e37a0c2059273f"; + }; + + propagatedBuildInputs = [ + six + pytz + tzlocal + ]; + + checkInputs = [ + taskwarrior + wsl_stub + ]; + + meta = with lib; { + homepage = https://github.com/robgolding/tasklib; + description = "A library for interacting with taskwarrior databases"; + maintainers = with maintainers; [ arcnmx ]; + platforms = platforms.all; + license = licenses.bsd3; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/tensorflow/bin.nix b/nixpkgs/pkgs/development/python-modules/tensorflow/bin.nix index db2308a0be8..38fec9adc7d 100644 --- a/nixpkgs/pkgs/development/python-modules/tensorflow/bin.nix +++ b/nixpkgs/pkgs/development/python-modules/tensorflow/bin.nix @@ -2,7 +2,7 @@ , lib , fetchurl , buildPythonPackage -, isPy3k, pythonOlder +, isPy3k, pythonOlder, isPy38 , astor , gast , google-pasta @@ -51,6 +51,8 @@ in buildPythonPackage { inherit (packages) version; format = "wheel"; + disabled = isPy38; + src = let pyVerNoDot = lib.strings.stringAsChars (x: if x == "." then "" else x) python.pythonVersion; pyver = if stdenv.isDarwin then builtins.substring 0 1 pyVerNoDot else pyVerNoDot; diff --git a/nixpkgs/pkgs/development/python-modules/trezor_agent/default.nix b/nixpkgs/pkgs/development/python-modules/trezor_agent/default.nix index 17ecd369136..ea941f0e7ca 100644 --- a/nixpkgs/pkgs/development/python-modules/trezor_agent/default.nix +++ b/nixpkgs/pkgs/development/python-modules/trezor_agent/default.nix @@ -8,6 +8,7 @@ , mnemonic , keepkey , semver +, setuptools , wheel , pinentry }: @@ -21,7 +22,7 @@ buildPythonPackage rec{ sha256 = "e82bf000c1178b1a7612f2a90487eb34c6234d2edb15dc8e310ad875d8298690"; }; - propagatedBuildInputs = [ trezor libagent ecdsa ed25519 mnemonic keepkey semver wheel pinentry ]; + propagatedBuildInputs = [ setuptools trezor libagent ecdsa ed25519 mnemonic keepkey semver wheel pinentry ]; meta = with stdenv.lib; { description = "Using Trezor as hardware SSH agent"; diff --git a/nixpkgs/pkgs/development/python-modules/unicode-slugify/default.nix b/nixpkgs/pkgs/development/python-modules/unicode-slugify/default.nix new file mode 100644 index 00000000000..111ff6278ea --- /dev/null +++ b/nixpkgs/pkgs/development/python-modules/unicode-slugify/default.nix @@ -0,0 +1,29 @@ +{ stdenv +, buildPythonPackage +, fetchPypi +, nose +, six +, unittest2 +, unidecode +}: + +buildPythonPackage rec { + pname = "unicode-slugify"; + version = "0.1.3"; + + src = fetchPypi { + inherit pname version; + sha256 = "0l7nphfdq9rgiczbl8n3mra9gx7pxap0xz540pkyz034zbz3mkrl"; + }; + + propagatedBuildInputs = [ six unidecode ]; + + checkInputs = [ nose unittest2 ]; + + meta = with stdenv.lib; { + description = "Generates unicode slugs"; + homepage = "https://pypi.org/project/unicode-slugify/"; + license = licenses.bsd3; + maintainers = with maintainers; [ mmai ]; + }; +} diff --git a/nixpkgs/pkgs/development/python-modules/urllib3/default.nix b/nixpkgs/pkgs/development/python-modules/urllib3/default.nix index d951e436480..e50d90266bf 100644 --- a/nixpkgs/pkgs/development/python-modules/urllib3/default.nix +++ b/nixpkgs/pkgs/development/python-modules/urllib3/default.nix @@ -11,6 +11,8 @@ buildPythonPackage rec { sha256 = "2393a695cd12afedd0dcb26fe5d50d0cf248e5a66f75dbd89a3d4eb333a61af4"; }; + outputs = [ "out" "dev" ]; + NOSE_EXCLUDE = stdenv.lib.concatStringsSep "," [ "test_headers" "test_headerdict" "test_can_validate_ip_san" "test_delayed_body_read_timeout" "test_timeout_errors_cause_retries" "test_select_multiple_interrupts_with_event" diff --git a/nixpkgs/pkgs/development/python-modules/xmlschema/default.nix b/nixpkgs/pkgs/development/python-modules/xmlschema/default.nix index c021bde60cd..bd5f9eb40b8 100644 --- a/nixpkgs/pkgs/development/python-modules/xmlschema/default.nix +++ b/nixpkgs/pkgs/development/python-modules/xmlschema/default.nix @@ -4,14 +4,14 @@ }: buildPythonPackage rec { - version = "1.0.13"; + version = "1.0.15"; pname = "xmlschema"; src = fetchFromGitHub { owner = "sissaschool"; repo = "xmlschema"; rev = "v${version}"; - sha256 = "182439gqhlxhr9rdi9ak33z4ffy1w9syhykkckkl6mq050c80qdr"; + sha256 = "1s8ggvy2s7513cxcal3r37rn1bhpkxhq3hs5m9pgvmrysxjdz8lb"; }; propagatedBuildInputs = [ elementpath ]; @@ -25,6 +25,7 @@ buildPythonPackage rec { --replace "SKIP_REMOTE_TESTS = " "SKIP_REMOTE_TESTS = True #" pytest . \ --ignore=xmlschema/tests/test_factory.py \ + --ignore=xmlschema/tests/test_memory.py \ --ignore=xmlschema/tests/test_validators.py \ --ignore=xmlschema/tests/test_schemas.py \ -k 'not element_tree_import_script' diff --git a/nixpkgs/pkgs/development/tools/analysis/valgrind/default.nix b/nixpkgs/pkgs/development/tools/analysis/valgrind/default.nix index c362ae5734c..b5abff94c79 100644 --- a/nixpkgs/pkgs/development/tools/analysis/valgrind/default.nix +++ b/nixpkgs/pkgs/development/tools/analysis/valgrind/default.nix @@ -8,15 +8,13 @@ stdenv.mkDerivation rec { sha256 = "1ccawxrni8brcvwhygy12iprkvz409hbr9xkk1bd03gnm2fplz21"; }; - # Perl is needed for `cg_annotate'. - nativeBuildInputs = [ perl ]; - outputs = [ "out" "dev" "man" "doc" ]; hardeningDisable = [ "stackprotector" ]; # GDB is needed to provide a sane default for `--db-command'. - buildInputs = [ gdb ] ++ stdenv.lib.optionals (stdenv.isDarwin) [ bootstrap_cmds xnu ]; + # Perl is needed for `callgrind_{annotate,control}'. + buildInputs = [ gdb perl ] ++ stdenv.lib.optionals (stdenv.isDarwin) [ bootstrap_cmds xnu ]; enableParallelBuilding = true; separateDebugInfo = stdenv.isLinux; diff --git a/nixpkgs/pkgs/development/tools/bazelisk/default.nix b/nixpkgs/pkgs/development/tools/bazelisk/default.nix index 6c0532eac48..15303c2c87f 100644 --- a/nixpkgs/pkgs/development/tools/bazelisk/default.nix +++ b/nixpkgs/pkgs/development/tools/bazelisk/default.nix @@ -2,16 +2,16 @@ buildGoModule rec { pname = "bazelisk"; - version = "1.0"; + version = "1.1.0"; src = fetchFromGitHub { owner = "bazelbuild"; repo = pname; rev = "v${version}"; - sha256 = "0516rx3qx6nxavy0a1qxjx2rcvdfb2ggig0q4n7fkmrxbnwxh2c9"; + sha256 = "1pv113sk7hfxp4m2rcriqqp6mbbzcfdr0yrx4g1yiam93rx34yb7"; }; - modSha256 = "1f73j6ryidzi3kfy3rhsqx047vzwvzaqcsl7ykhg87rn2l2s7fdl"; + modSha256 = "0gs8y618izqi0gfa46jqh79yj8lzsmc6yj95fakhp2f5i8v1xrmx"; meta = with stdenv.lib; { description = "A user-friendly launcher for Bazel"; diff --git a/nixpkgs/pkgs/development/tools/build-managers/bazel/0.4.nix b/nixpkgs/pkgs/development/tools/build-managers/bazel/0.4.nix deleted file mode 100644 index 334d0962253..00000000000 --- a/nixpkgs/pkgs/development/tools/build-managers/bazel/0.4.nix +++ /dev/null @@ -1,100 +0,0 @@ -{ stdenv, lib, fetchurl, jdk, zip, unzip, bash, makeWrapper, which, coreutils -# Always assume all markers valid (don't redownload dependencies). -# Also, don't clean up environment variables. -, enableNixHacks ? false -}: - -stdenv.mkDerivation rec { - - version = "0.4.5"; - - meta = with stdenv.lib; { - homepage = https://github.com/bazelbuild/bazel/; - description = "Build tool that builds code quickly and reliably"; - license = licenses.asl20; - maintainers = with maintainers; [ cstrahan philandstuff ]; - platforms = platforms.linux; - broken = true; # 2018-08-07 - }; - - pname = "bazel"; - - src = fetchurl { - url = "https://github.com/bazelbuild/bazel/releases/download/${version}/bazel-${version}-dist.zip"; - sha256 = "0asmq3kxnl4326zhgh13mvcrc8jvmiswjj4ymrq0943q4vj7nwrb"; - }; - - preUnpack = '' - mkdir bazel - cd bazel - ''; - sourceRoot = "."; - - patches = lib.optional enableNixHacks ./nix-hacks-0.4.patch; - - postPatch = '' - for f in $(grep -l -r '/bin/bash'); do - substituteInPlace "$f" --replace '/bin/bash' '${bash}/bin/bash' - done - for f in $(grep -l -r '/usr/bin/env'); do - substituteInPlace "$f" --replace '/usr/bin/env' '${coreutils}/bin/env' - done - '' + lib.optionalString stdenv.isDarwin '' - sed -i 's,/usr/bin/xcrun clang,clang,g' \ - scripts/bootstrap/compile.sh \ - src/tools/xcode/realpath/BUILD \ - src/tools/xcode/stdredirect/BUILD \ - src/tools/xcode/xcrunwrapper/xcrunwrapper.sh - sed -i 's,/usr/bin/xcrun "''${TOOLNAME}","''${TOOLNAME}",g' \ - src/tools/xcode/xcrunwrapper/xcrunwrapper.sh - sed -i 's/"xcrun", "clang"/"clang"/g' tools/osx/xcode_configure.bzl - ''; - - buildInputs = [ - jdk - zip - unzip - makeWrapper - which - ]; - - # These must be propagated since the dependency is hidden in a compressed - # archive. - - propagatedBuildInputs = [ - bash - ]; - - buildPhase = '' - export TMPDIR=/tmp/.bazel-$UID - ./compile.sh - ./output/bazel --output_user_root=$TMPDIR/.bazel build //scripts:bash_completion \ - --spawn_strategy=standalone \ - --genrule_strategy=standalone - cp bazel-bin/scripts/bazel-complete.bash output/ - ''; - - # Build the CPP and Java examples to verify that Bazel works. - - doCheck = true; - checkPhase = '' - export TEST_TMPDIR=$(pwd) - ./output/bazel test --test_output=errors \ - examples/cpp:hello-success_test \ - examples/java-native/src/test/java/com/example/myproject:hello - ''; - - # Bazel expects gcc and java to be in the path. - - installPhase = '' - mkdir -p $out/bin - mv output/bazel $out/bin - wrapProgram "$out/bin/bazel" --prefix PATH : "${stdenv.cc}/bin:${jdk}/bin" - mkdir -p $out/share/bash-completion/completions $out/share/zsh/site-functions - mv output/bazel-complete.bash $out/share/bash-completion/completions/ - cp scripts/zsh_completion/_bazel $out/share/zsh/site-functions/ - ''; - - dontStrip = true; - dontPatchELF = true; -} diff --git a/nixpkgs/pkgs/development/tools/build-managers/bazel/nix-hacks-0.4.patch b/nixpkgs/pkgs/development/tools/build-managers/bazel/nix-hacks-0.4.patch deleted file mode 100644 index 563fe635e6b..00000000000 --- a/nixpkgs/pkgs/development/tools/build-managers/bazel/nix-hacks-0.4.patch +++ /dev/null @@ -1,51 +0,0 @@ -diff --git a/src/main/java/com/google/devtools/build/lib/rules/repository/RepositoryDelegatorFunction.java b/src/main/java/com/google/devtools/build/lib/rules/repository/RepositoryDelegatorFunction.java -index eafa09fb5..d2d5e40e8 100644 ---- a/src/main/java/com/google/devtools/build/lib/rules/repository/RepositoryDelegatorFunction.java -+++ b/src/main/java/com/google/devtools/build/lib/rules/repository/RepositoryDelegatorFunction.java -@@ -287,21 +287,8 @@ public final class RepositoryDelegatorFunction implements SkyFunction { - markerData.put(key, value); - } - } -- boolean result = false; -- if (markerRuleKey.equals(ruleKey)) { -- result = handler.verifyMarkerData(rule, markerData, env); -- if (env.valuesMissing()) { -- return null; -- } -- } - -- if (result) { -- return new Fingerprint().addString(content).digestAndReset(); -- } else { -- // So that we are in a consistent state if something happens while fetching the repository -- markerPath.delete(); -- return null; -- } -+ return new Fingerprint().addString(content).digestAndReset(); - - } catch (IOException e) { - throw new RepositoryFunctionException(e, Transience.TRANSIENT); -diff --git a/src/main/java/com/google/devtools/build/lib/shell/JavaSubprocessFactory.java b/src/main/java/com/google/devtools/build/lib/shell/JavaSubprocessFactory.java -index a7ebc8f7a..40f2049fa 100644 ---- a/src/main/java/com/google/devtools/build/lib/shell/JavaSubprocessFactory.java -+++ b/src/main/java/com/google/devtools/build/lib/shell/JavaSubprocessFactory.java -@@ -129,7 +129,6 @@ public class JavaSubprocessFactory implements SubprocessFactory { - ProcessBuilder builder = new ProcessBuilder(); - builder.command(params.getArgv()); - if (params.getEnv() != null) { -- builder.environment().clear(); - builder.environment().putAll(params.getEnv()); - } - -diff --git a/src/main/java/com/google/devtools/build/lib/worker/Worker.java b/src/main/java/com/google/devtools/build/lib/worker/Worker.java -index 0268d1b2b..637364657 100644 ---- a/src/main/java/com/google/devtools/build/lib/worker/Worker.java -+++ b/src/main/java/com/google/devtools/build/lib/worker/Worker.java -@@ -77,7 +77,6 @@ class Worker { - new ProcessBuilder(command) - .directory(workDir.getPathFile()) - .redirectError(Redirect.appendTo(logFile.getPathFile())); -- processBuilder.environment().clear(); - processBuilder.environment().putAll(workerKey.getEnv()); - - this.process = processBuilder.start(); diff --git a/nixpkgs/pkgs/development/tools/build-managers/rebar3/default.nix b/nixpkgs/pkgs/development/tools/build-managers/rebar3/default.nix index 341585744a6..feb0086a355 100644 --- a/nixpkgs/pkgs/development/tools/build-managers/rebar3/default.nix +++ b/nixpkgs/pkgs/development/tools/build-managers/rebar3/default.nix @@ -3,7 +3,7 @@ tree, hexRegistrySnapshot }: let - version = "3.11.1"; + version = "3.12.0"; bootstrapper = ./rebar3-nix-bootstrap; @@ -77,7 +77,7 @@ stdenv.mkDerivation rec { owner = "erlang"; repo = pname; rev = version; - sha256 = "124l1alf7wx4hqjpf63l0nx70q68lilmgh582c1d413fz5ip0byd"; + sha256 = "0936ix7lfwsamssap58b265zid7x2m97azrr2qpjcln3xysd16lg"; }; inherit bootstrapper; diff --git a/nixpkgs/pkgs/development/tools/build-managers/sbt/default.nix b/nixpkgs/pkgs/development/tools/build-managers/sbt/default.nix index 9c5543cff58..bb2f000f606 100644 --- a/nixpkgs/pkgs/development/tools/build-managers/sbt/default.nix +++ b/nixpkgs/pkgs/development/tools/build-managers/sbt/default.nix @@ -2,14 +2,14 @@ stdenv.mkDerivation rec { pname = "sbt"; - version = "1.3.2"; + version = "1.3.3"; src = fetchurl { urls = [ "https://piccolo.link/sbt-${version}.tgz" "https://github.com/sbt/sbt/releases/download/v${version}/sbt-${version}.tgz" ]; - sha256 = "0vrj9wlw5kf6w7nzhf58dyik0n7rnc9aivjpsz85m299j4wyz37d"; + sha256 = "0v27i8pd9ss53ipi1cs40426mnyppdjgcdjk8nn05bi6rm7a4r7y"; }; patchPhase = '' diff --git a/nixpkgs/pkgs/development/tools/chit/default.nix b/nixpkgs/pkgs/development/tools/chit/default.nix index b312b888e90..e939ddb1334 100644 --- a/nixpkgs/pkgs/development/tools/chit/default.nix +++ b/nixpkgs/pkgs/development/tools/chit/default.nix @@ -6,16 +6,16 @@ with rustPlatform; buildRustPackage rec { pname = "chit"; - version = "0.1.14"; + version = "0.1.15"; src = fetchFromGitHub { owner = "peterheesterman"; repo = pname; rev = version; - sha256 = "1rzy15xwlf87c8kpy9pwvir6s9z3qc8d9iz4pk0gfdj2il3vmjwv"; + sha256 = "0iixczy3cad44j2d7zzj8f3lnmp4jwnb0snmwfgiq3vj9wrn28pz"; }; - cargoSha256 = "1jqnnf4jgjpm1i310hda15423nxfw9frgpmc2kbrs66qcsj7avaw"; + cargoSha256 = "0k6z69a09ps55w2rdgnf92yscw6rlbcpb4q9yf3rsav15pgpqvw8"; nativeBuildInputs = stdenv.lib.optionals stdenv.isLinux [ pkgconfig ]; buildInputs = [] diff --git a/nixpkgs/pkgs/development/tools/documentation/mdsh/default.nix b/nixpkgs/pkgs/development/tools/documentation/mdsh/default.nix index 3cc64602508..2ca2ef29dd2 100644 --- a/nixpkgs/pkgs/development/tools/documentation/mdsh/default.nix +++ b/nixpkgs/pkgs/development/tools/documentation/mdsh/default.nix @@ -2,16 +2,16 @@ rustPlatform.buildRustPackage rec { pname = "mdsh"; - version = "0.2.0"; + version = "0.3.0"; src = fetchFromGitHub { owner = "zimbatm"; repo = "mdsh"; rev = "v${version}"; - sha256 = "1751lll93cimyj7bxxdwdxn5w0zb2mzjpbnk1c93jfsvzlz1wzbl"; + sha256 = "1a9i6h8fzrrfzjyfxaps73lxgkz92k0bnmwbjbwdmiwci4qgi9ms"; }; - cargoSha256 = "0b8rg4pz4mpm60iwwmfw4l1p1g9sh1fwf693aqxi8g4vrjf0zniv"; + cargoSha256 = "0rarpzfigyxr6s0ba13z00kvnms29qkjfbfjkay72mb6xn7f1059"; verifyCargoDeps = true; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/tools/flamegraph/default.nix b/nixpkgs/pkgs/development/tools/flamegraph/default.nix index a351c325ea2..23ada99eac0 100644 --- a/nixpkgs/pkgs/development/tools/flamegraph/default.nix +++ b/nixpkgs/pkgs/development/tools/flamegraph/default.nix @@ -1,27 +1,32 @@ { stdenv, fetchFromGitHub, perl }: -stdenv.mkDerivation { - name = "FlameGraph-2017-07-01"; +stdenv.mkDerivation rec { + pname = "FlameGraph"; + version = "2019-02-16"; src = fetchFromGitHub { owner = "brendangregg"; - repo = "FlameGraph"; - rev = "a93d905911c07c96a73b35ddbcb5ddb2f39da4b6"; - sha256 = "07z2ffnab41dz833wwgr875vjccqyh0238357g7ml1yg5445x2jy"; + repo = pname; + rev = "1b1c6deede9c33c5134c920bdb7a44cc5528e9a7"; + sha256 = "1flvkmv2gbb003d51myl7r0wyhyw1bk9p7v19xagb8xjj4ci947b"; }; buildInputs = [ perl ]; installPhase = '' + runHook preInstall + mkdir -p $out/bin for x in $src/*.pl $src/*.awk $src/dev/*.pl $src/dev/*.d; do cp $x $out/bin done + + runHook postInstall ''; meta = with stdenv.lib; { - license = licenses.cddl; - homepage = http://www.brendangregg.com/flamegraphs.html; + license = with licenses; [ asl20 cddl gpl2Plus ]; + homepage = "http://www.brendangregg.com/flamegraphs.html"; description = "Visualization for profiled code"; platforms = platforms.unix; }; diff --git a/nixpkgs/pkgs/development/tools/git-series/default.nix b/nixpkgs/pkgs/development/tools/git-series/default.nix index 5faceca5716..d2bc539860b 100644 --- a/nixpkgs/pkgs/development/tools/git-series/default.nix +++ b/nixpkgs/pkgs/development/tools/git-series/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, fetchpatch, rustPlatform, openssl_1_0_2, cmake, perl, pkgconfig, zlib }: +{ stdenv, fetchFromGitHub, fetchpatch, rustPlatform, openssl, cmake, perl, pkgconfig, zlib, curl, libgit2 }: with rustPlatform; @@ -13,21 +13,23 @@ buildRustPackage rec { sha256 = "07mgq5h6r1gf3jflbv2khcz32bdazw7z1s8xcsafdarnm13ps014"; }; - cargoSha256 = "07b25pcndhwvpwa5khdh8y1fl44hdv6ff2pfj1mjc0wchbspqm6q"; - - cargoDepsHook = '' - ( - cd */ - # see https://github.com/git-series/git-series/pull/56 - patch -p1 < ${fetchpatch { - url = "https://github.com/Mic92/git-series/commit/3aa30a47d74ebf90b444dccdf8c153f07f119483.patch"; - sha256 = "06v8br9skvy75kcw2zgbswxyk82sqzc8smkbqpzmivxlc2i9rnh0"; - }} - ) - ''; - + cargoSha256 = "09y4fvv279cm5sgbpmskascb7rrwqgya5w5wkpz1bgx6pzw3mc7y"; + + cargoPatches = [ + (fetchpatch { + url = "https://github.com/Mic92/git-series/commit/3aa30a47d74ebf90b444dccdf8c153f07f119483.patch"; + sha256 = "06v8br9skvy75kcw2zgbswxyk82sqzc8smkbqpzmivxlc2i9rnh0"; + }) + # Update Cargo.lock to allow using OpenSSL 1.1 + (fetchpatch { + url = "https://github.com/edef1c/git-series/commit/11fe70ffcc18200e5f2a159c36aab070e8ff4228.patch"; + sha256 = "0clwllf9mrhq86dhzyyhkw1q2ggpgqpw7s05dvp3gj9zhfsyya4s"; + }) + ]; + + LIBGIT2_SYS_USE_PKG_CONFIG = true; nativeBuildInputs = [ cmake pkgconfig perl ]; - buildInputs = [ openssl_1_0_2 zlib ]; + buildInputs = [ openssl zlib curl libgit2 ]; postBuild = '' install -D "$src/git-series.1" "$out/man/man1/git-series.1" @@ -43,6 +45,6 @@ buildRustPackage rec { homepage = https://github.com/git-series/git-series; license = licenses.mit; - maintainers = [ maintainers.vmandela ]; + maintainers = with maintainers; [ edef vmandela ]; }; } diff --git a/nixpkgs/pkgs/development/tools/golangci-lint/default.nix b/nixpkgs/pkgs/development/tools/golangci-lint/default.nix index 6937ab48bb3..1ea73484886 100644 --- a/nixpkgs/pkgs/development/tools/golangci-lint/default.nix +++ b/nixpkgs/pkgs/development/tools/golangci-lint/default.nix @@ -2,7 +2,7 @@ buildGoPackage rec { pname = "golangci-lint"; - version = "1.20.0"; + version = "1.21.0"; goPackagePath = "github.com/golangci/golangci-lint"; subPackages = [ "cmd/golangci-lint" ]; @@ -11,7 +11,7 @@ buildGoPackage rec { owner = "golangci"; repo = "golangci-lint"; rev = "v${version}"; - sha256 = "1ca7l8smi1hx2sk6sq1cac9bvij4wnxxmwldbk8r1ih8ja5i6vdk"; + sha256 = "0knvb59mg9jrzmfs5nzglz4nv047ayq1xz6dkis74wl1g9xi6yr5"; }; meta = with lib; { diff --git a/nixpkgs/pkgs/development/tools/misc/arcanist/default.nix b/nixpkgs/pkgs/development/tools/misc/arcanist/default.nix index b3d380b0a0a..3df5794e387 100644 --- a/nixpkgs/pkgs/development/tools/misc/arcanist/default.nix +++ b/nixpkgs/pkgs/development/tools/misc/arcanist/default.nix @@ -4,19 +4,19 @@ let libphutil = fetchFromGitHub { owner = "phacility"; repo = "libphutil"; - rev = "3215e4e291ed4468faeed4542d47a571b5bc559a"; - sha256 = "0bbinaxny0j4iniz2grf0s9cysbl3x24yc32f3jra9mwsgh2v2zj"; + rev = "39ed96cd818aae761ec92613a9ba0800824d0ab0"; + sha256 = "1w55avn056kwa4gr25h09b7xhvyp397myrfzlmd1ggx7vj87vw1q"; }; arcanist = fetchFromGitHub { owner = "phacility"; repo = "arcanist"; - rev = "2650e8627a20e1bfe334a4a2b787f44ef5d6ebc5"; - sha256 = "0x0xxiar202ypbgxh19swzjil546bbp8li4k5yrpvab55y8ymkd4"; + rev = "3cdfe1fff806d2b54a2df631cf90193e518f42b7"; + sha256 = "1dngq8p4y4hln87hhgdm6hv68ld626j57lifw0821rvpnnmspw6j"; }; in stdenv.mkDerivation { pname = "arcanist"; - version = "20180916"; + version = "20190905"; src = [ arcanist libphutil ]; buildInputs = [ php makeWrapper flex ]; diff --git a/nixpkgs/pkgs/development/tools/misc/gdb/default.nix b/nixpkgs/pkgs/development/tools/misc/gdb/default.nix index f7dc2874aff..e990cb683e7 100644 --- a/nixpkgs/pkgs/development/tools/misc/gdb/default.nix +++ b/nixpkgs/pkgs/development/tools/misc/gdb/default.nix @@ -4,7 +4,7 @@ , fetchurl, pkgconfig, perl, texinfo, setupDebugInfoDirs, buildPackages # Run time -, ncurses, readline, gmp, mpfr, expat, zlib, dejagnu +, ncurses, readline, gmp, mpfr, expat, libipt, zlib, dejagnu , pythonSupport ? stdenv.hostPlatform == stdenv.buildPlatform && !stdenv.hostPlatform.isCygwin, python3 ? null , guile ? null @@ -13,7 +13,7 @@ let basename = "gdb-${version}"; - version = "8.3"; + version = "8.3.1"; in assert pythonSupport -> python3 != null; @@ -26,7 +26,7 @@ stdenv.mkDerivation rec { src = fetchurl { url = "mirror://gnu/gdb/${basename}.tar.xz"; - sha256 = "0bnpzz0rl672xg5547q5qck2sxi6cnyixmk8bbb4gifw17ipwbw0"; + sha256 = "1i2pjwaafrlz7wqm40b4znr77ai32rjsxkpl2az38yyarpbv8m8y"; }; postPatch = if stdenv.isDarwin then '' @@ -42,7 +42,7 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ pkgconfig texinfo perl setupDebugInfoDirs ]; - buildInputs = [ ncurses readline gmp mpfr expat zlib guile ] + buildInputs = [ ncurses readline gmp mpfr expat libipt zlib guile ] ++ stdenv.lib.optional pythonSupport python3 ++ stdenv.lib.optional doCheck dejagnu; diff --git a/nixpkgs/pkgs/development/tools/misc/gtkdialog/default.nix b/nixpkgs/pkgs/development/tools/misc/gtkdialog/default.nix index 02b4d155767..efb61c4730f 100644 --- a/nixpkgs/pkgs/development/tools/misc/gtkdialog/default.nix +++ b/nixpkgs/pkgs/development/tools/misc/gtkdialog/default.nix @@ -1,4 +1,4 @@ -{stdenv, fetchurl, gtk2, pkgconfig, hicolor-icon-theme }: +{stdenv, fetchurl, gtk2, pkgconfig }: stdenv.mkDerivation { name = "gtkdialog-0.8.3"; @@ -9,7 +9,7 @@ stdenv.mkDerivation { }; nativeBuildInputs = [ pkgconfig ]; - buildInputs = [ gtk2 hicolor-icon-theme ]; + buildInputs = [ gtk2 ]; meta = { homepage = https://code.google.com/archive/p/gtkdialog/; diff --git a/nixpkgs/pkgs/development/tools/misc/pwndbg/default.nix b/nixpkgs/pkgs/development/tools/misc/pwndbg/default.nix index 7182144fd20..9af43e4b747 100644 --- a/nixpkgs/pkgs/development/tools/misc/pwndbg/default.nix +++ b/nixpkgs/pkgs/development/tools/misc/pwndbg/default.nix @@ -1,33 +1,12 @@ { stdenv +, python3 , fetchFromGitHub , makeWrapper , gdb -, future -, isort -, psutil -, pycparser -, pyelftools -, python-ptrace -, ROPGadget -, six -, unicorn -, pygments -, }: +}: -stdenv.mkDerivation rec { - pname = "pwndbg"; - version = "2019.01.25"; - - src = fetchFromGitHub { - owner = "pwndbg"; - repo = "pwndbg"; - rev = version; - sha256 = "0k7n6pcrj62ccag801yzf04a9mj9znghpkbnqwrzz0qn3rs42vgs"; - }; - - nativeBuildInputs = [ makeWrapper ]; - - propagatedBuildInputs = [ +let + pythonPath = with python3.pkgs; makePythonPath [ future isort psutil @@ -40,16 +19,27 @@ stdenv.mkDerivation rec { pygments ]; +in stdenv.mkDerivation rec { + pname = "pwndbg"; + version = "2019.01.25"; + format = "other"; + + src = fetchFromGitHub { + owner = "pwndbg"; + repo = "pwndbg"; + rev = version; + sha256 = "0k7n6pcrj62ccag801yzf04a9mj9znghpkbnqwrzz0qn3rs42vgs"; + }; + + nativeBuildInputs = [ makeWrapper ]; + installPhase = '' mkdir -p $out/share/pwndbg cp -r *.py pwndbg $out/share/pwndbg + chmod +x $out/share/pwndbg/gdbinit.py makeWrapper ${gdb}/bin/gdb $out/bin/pwndbg \ - --add-flags "-q -x $out/share/pwndbg/gdbinit.py" - ''; - - preFixup = '' - sed -i "/import sys/a import sys; sys.path[0:0] = '$PYTHONPATH'.split(':')" \ - $out/share/pwndbg/gdbinit.py + --add-flags "-q -x $out/share/pwndbg/gdbinit.py" \ + --set NIX_PYTHONPATH ${pythonPath} ''; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/development/tools/misc/scc/default.nix b/nixpkgs/pkgs/development/tools/misc/scc/default.nix new file mode 100644 index 00000000000..2d5d94813ca --- /dev/null +++ b/nixpkgs/pkgs/development/tools/misc/scc/default.nix @@ -0,0 +1,26 @@ +{ stdenv, buildGoPackage, fetchFromGitHub }: + +buildGoPackage rec { + pname = "scc"; + version = "2.8.0"; + + src = fetchFromGitHub { + owner = "boyter"; + repo = "scc"; + rev = "v${version}"; + sha256 = "1g55aahr8j93jc1k2zgpnyxgp7ddn5137vjf8dafsmqp4m2qjq6g"; + }; + + goPackagePath = "github.com/boyter/scc"; + + # scc has a scripts/ sub-package that's for testing. + subPackages = [ "./" ]; + + meta = with stdenv.lib; { + homepage = https://github.com/boyter/scc; + description = "A very fast accurate code counter with complexity calculations and COCOMO estimates written in pure Go"; + maintainers = with maintainers; [ sigma ]; + license = with licenses; [ unlicense /* or */ mit ]; + platforms = platforms.unix; + }; +} diff --git a/nixpkgs/pkgs/development/tools/parsing/bison/3.x.nix b/nixpkgs/pkgs/development/tools/parsing/bison/3.x.nix index bbcecae8f04..5382ec421b7 100644 --- a/nixpkgs/pkgs/development/tools/parsing/bison/3.x.nix +++ b/nixpkgs/pkgs/development/tools/parsing/bison/3.x.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { pname = "bison"; - version = "3.4.1"; + version = "3.4.2"; src = fetchurl { url = "mirror://gnu/${pname}/${pname}-${version}.tar.gz"; - sha256 = "15ah05gharrzcxs8q5pm9mli5dp5lw19nd95apzzmyqnqa4zq1vh"; + sha256 = "1kzsb6fmmpq00l2s55hyb4dbsmz8f3a64ria6354wlbx6ypj4fgz"; }; nativeBuildInputs = [ m4 perl ] ++ stdenv.lib.optional stdenv.isSunOS help2man; diff --git a/nixpkgs/pkgs/development/tools/prototool/default.nix b/nixpkgs/pkgs/development/tools/prototool/default.nix index 27794448893..37b0f1fdf8d 100644 --- a/nixpkgs/pkgs/development/tools/prototool/default.nix +++ b/nixpkgs/pkgs/development/tools/prototool/default.nix @@ -2,18 +2,18 @@ buildGoModule rec { pname = "prototool"; - version = "1.8.0"; + version = "1.9.0"; src = fetchFromGitHub { owner = "uber"; repo = pname; rev = "v${version}"; - sha256 = "0m6g5p3jyf0ja5j9rqmw8mhipdqxk7rcxm0plmh65bxk1n22fzjc"; + sha256 = "1ssgvhcnqffhhdx8hnk4lmklip2f6g9i7ifblywfjylb08y7iqgd"; }; nativeBuildInputs = [ makeWrapper ]; - modSha256 = "0l4nqb1c1lqqk70l9qwib1azavxlwghi2fv5siwrr5zw4jysz5ml"; + modSha256 = "1gc8kc9mbi3mlh48zx4lcgpsrf8z879f1qj9wfyr66s7wd1ljazg"; postInstall = '' wrapProgram "$out/bin/prototool" \ diff --git a/nixpkgs/pkgs/development/tools/pypi2nix/default.nix b/nixpkgs/pkgs/development/tools/pypi2nix/default.nix index 6a709cf8f9b..7475abc6457 100644 --- a/nixpkgs/pkgs/development/tools/pypi2nix/default.nix +++ b/nixpkgs/pkgs/development/tools/pypi2nix/default.nix @@ -32,7 +32,7 @@ in stdenv.mkDerivation rec { requests ]; buildInputs = [ - pythonPackages.python pythonPackages.flake8 + pythonPackages.python pythonPackages.flake8 pythonPackages.setuptools zip makeWrapper nix.out nix-prefetch-git nix-prefetch-hg ]; diff --git a/nixpkgs/pkgs/development/tools/rust/cargo-make/Cargo.lock b/nixpkgs/pkgs/development/tools/rust/cargo-make/Cargo.lock new file mode 100644 index 00000000000..e4c01baff9f --- /dev/null +++ b/nixpkgs/pkgs/development/tools/rust/cargo-make/Cargo.lock @@ -0,0 +1,727 @@ +# This file is automatically @generated by Cargo. +# It is not intended for manual editing. +[[package]] +name = "aho-corasick" +version = "0.7.6" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "ansi_term" +version = "0.11.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "approx" +version = "0.1.1" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "arrayref" +version = "0.3.5" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "arrayvec" +version = "0.4.12" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "nodrop 0.1.14 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "atty" +version = "0.2.13" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", + "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "autocfg" +version = "0.1.6" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "backtrace" +version = "0.3.38" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "backtrace-sys 0.1.31 (registry+https://github.com/rust-lang/crates.io-index)", + "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", + "rustc-demangle 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "backtrace-sys" +version = "0.1.31" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "cc 1.0.45 (registry+https://github.com/rust-lang/crates.io-index)", + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "base64" +version = "0.10.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "bitflags" +version = "1.2.1" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "blake2b_simd" +version = "0.5.8" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "arrayref 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)", + "arrayvec 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)", + "constant_time_eq 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "byteorder" +version = "1.3.2" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "c2-chacha" +version = "0.2.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", + "ppv-lite86 0.2.5 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "cargo-make" +version = "0.23.0" +dependencies = [ + "ci_info 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)", + "clap 2.33.0 (registry+https://github.com/rust-lang/crates.io-index)", + "colored 1.8.0 (registry+https://github.com/rust-lang/crates.io-index)", + "dirs 2.0.2 (registry+https://github.com/rust-lang/crates.io-index)", + "envmnt 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", + "fern 0.5.8 (registry+https://github.com/rust-lang/crates.io-index)", + "glob 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)", + "indexmap 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)", + "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", + "rand 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)", + "run_script 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)", + "rust_info 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)", + "semver 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)", + "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", + "serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", + "shell2batch 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)", + "toml 0.5.3 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "cc" +version = "1.0.45" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "cfg-if" +version = "0.1.10" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "cgmath" +version = "0.16.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "approx 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", + "num-traits 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)", + "rand 0.4.6 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "ci_info" +version = "0.8.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "envmnt 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "clap" +version = "2.33.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "ansi_term 0.11.0 (registry+https://github.com/rust-lang/crates.io-index)", + "atty 0.2.13 (registry+https://github.com/rust-lang/crates.io-index)", + "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)", + "strsim 0.8.0 (registry+https://github.com/rust-lang/crates.io-index)", + "textwrap 0.11.0 (registry+https://github.com/rust-lang/crates.io-index)", + "unicode-width 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", + "vec_map 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "cloudabi" +version = "0.0.3" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "colored" +version = "1.8.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", + "winconsole 0.10.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "constant_time_eq" +version = "0.1.4" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "crossbeam-utils" +version = "0.6.6" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", + "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "dirs" +version = "2.0.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", + "dirs-sys 0.3.4 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "dirs-sys" +version = "0.3.4" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", + "redox_users 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", + "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "envmnt" +version = "0.7.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "indexmap 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "failure" +version = "0.1.6" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "backtrace 0.3.38 (registry+https://github.com/rust-lang/crates.io-index)", + "failure_derive 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "failure_derive" +version = "0.1.6" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "proc-macro2 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", + "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", + "syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", + "synstructure 0.12.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "fern" +version = "0.5.8" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "fuchsia-cprng" +version = "0.1.1" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "getrandom" +version = "0.1.12" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", + "wasi 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "glob" +version = "0.3.0" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "indexmap" +version = "1.2.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "lazy_static" +version = "1.4.0" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "libc" +version = "0.2.62" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "log" +version = "0.4.8" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "memchr" +version = "2.2.1" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "nodrop" +version = "0.1.14" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "num-traits" +version = "0.1.43" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "num-traits" +version = "0.2.8" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "ppv-lite86" +version = "0.2.5" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "proc-macro2" +version = "1.0.5" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "quote" +version = "1.0.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "proc-macro2 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rand" +version = "0.4.6" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", + "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", + "rdrand 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", + "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rand" +version = "0.7.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "getrandom 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)", + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", + "rand_chacha 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)", + "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", + "rand_hc 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rand_chacha" +version = "0.2.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "c2-chacha 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)", + "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rand_core" +version = "0.3.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rand_core" +version = "0.4.2" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "rand_core" +version = "0.5.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "getrandom 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rand_hc" +version = "0.2.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rand_os" +version = "0.1.3" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "cloudabi 0.0.3 (registry+https://github.com/rust-lang/crates.io-index)", + "fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", + "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)", + "rdrand 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", + "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rdrand" +version = "0.4.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "redox_syscall" +version = "0.1.56" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "redox_users" +version = "0.3.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "failure 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", + "rand_os 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)", + "redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)", + "rust-argon2 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "regex" +version = "1.3.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "aho-corasick 0.7.6 (registry+https://github.com/rust-lang/crates.io-index)", + "memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", + "regex-syntax 0.6.12 (registry+https://github.com/rust-lang/crates.io-index)", + "thread_local 0.3.6 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "regex-syntax" +version = "0.6.12" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "rgb" +version = "0.8.14" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "run_script" +version = "0.3.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "rand 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)", + "users 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rust-argon2" +version = "0.5.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "base64 0.10.1 (registry+https://github.com/rust-lang/crates.io-index)", + "blake2b_simd 0.5.8 (registry+https://github.com/rust-lang/crates.io-index)", + "crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "rust_info" +version = "0.2.2" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "rustc-demangle" +version = "0.1.16" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "semver" +version = "0.9.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "semver-parser 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "semver-parser" +version = "0.7.0" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "serde" +version = "1.0.101" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "serde_derive" +version = "1.0.101" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "proc-macro2 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", + "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", + "syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "shell2batch" +version = "0.4.2" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "regex 1.3.1 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "strsim" +version = "0.8.0" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "syn" +version = "1.0.5" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "proc-macro2 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", + "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", + "unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "synstructure" +version = "0.12.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "proc-macro2 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", + "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", + "syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", + "unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "textwrap" +version = "0.11.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "unicode-width 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "thread_local" +version = "0.3.6" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "toml" +version = "0.5.3" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "unicode-width" +version = "0.1.6" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "unicode-xid" +version = "0.2.0" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "users" +version = "0.9.1" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "vec_map" +version = "0.8.1" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "wasi" +version = "0.7.0" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "winapi" +version = "0.3.8" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "winapi-i686-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", + "winapi-x86_64-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[[package]] +name = "winapi-i686-pc-windows-gnu" +version = "0.4.0" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "winapi-x86_64-pc-windows-gnu" +version = "0.4.0" +source = "registry+https://github.com/rust-lang/crates.io-index" + +[[package]] +name = "winconsole" +version = "0.10.0" +source = "registry+https://github.com/rust-lang/crates.io-index" +dependencies = [ + "cgmath 0.16.1 (registry+https://github.com/rust-lang/crates.io-index)", + "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", + "rgb 0.8.14 (registry+https://github.com/rust-lang/crates.io-index)", + "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", +] + +[metadata] +"checksum aho-corasick 0.7.6 (registry+https://github.com/rust-lang/crates.io-index)" = "58fb5e95d83b38284460a5fda7d6470aa0b8844d283a0b614b8535e880800d2d" +"checksum ansi_term 0.11.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ee49baf6cb617b853aa8d93bf420db2383fab46d314482ca2803b40d5fde979b" +"checksum approx 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "08abcc3b4e9339e33a3d0a5ed15d84a687350c05689d825e0f6655eef9e76a94" +"checksum arrayref 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)" = "0d382e583f07208808f6b1249e60848879ba3543f57c32277bf52d69c2f0f0ee" +"checksum arrayvec 0.4.12 (registry+https://github.com/rust-lang/crates.io-index)" = "cd9fd44efafa8690358b7408d253adf110036b88f55672a933f01d616ad9b1b9" +"checksum atty 0.2.13 (registry+https://github.com/rust-lang/crates.io-index)" = "1803c647a3ec87095e7ae7acfca019e98de5ec9a7d01343f611cf3152ed71a90" +"checksum autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)" = "b671c8fb71b457dd4ae18c4ba1e59aa81793daacc361d82fcd410cef0d491875" +"checksum backtrace 0.3.38 (registry+https://github.com/rust-lang/crates.io-index)" = "690a62be8920ccf773ee00ef0968649b0e724cda8bd5b12286302b4ae955fdf5" +"checksum backtrace-sys 0.1.31 (registry+https://github.com/rust-lang/crates.io-index)" = "82a830b4ef2d1124a711c71d263c5abdc710ef8e907bd508c88be475cebc422b" +"checksum base64 0.10.1 (registry+https://github.com/rust-lang/crates.io-index)" = "0b25d992356d2eb0ed82172f5248873db5560c4721f564b13cb5193bda5e668e" +"checksum bitflags 1.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "cf1de2fe8c75bc145a2f577add951f8134889b4795d47466a54a5c846d691693" +"checksum blake2b_simd 0.5.8 (registry+https://github.com/rust-lang/crates.io-index)" = "5850aeee1552f495dd0250014cf64b82b7c8879a89d83b33bbdace2cc4f63182" +"checksum byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)" = "a7c3dd8985a7111efc5c80b44e23ecdd8c007de8ade3b96595387e812b957cf5" +"checksum c2-chacha 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "7d64d04786e0f528460fc884753cf8dddcc466be308f6026f8e355c41a0e4101" +"checksum cc 1.0.45 (registry+https://github.com/rust-lang/crates.io-index)" = "4fc9a35e1f4290eb9e5fc54ba6cf40671ed2a2514c3eeb2b2a908dda2ea5a1be" +"checksum cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)" = "4785bdd1c96b2a846b2bd7cc02e86b6b3dbf14e7e53446c4f54c92a361040822" +"checksum cgmath 0.16.1 (registry+https://github.com/rust-lang/crates.io-index)" = "64a4b57c8f4e3a2e9ac07e0f6abc9c24b6fc9e1b54c3478cfb598f3d0023e51c" +"checksum ci_info 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)" = "93b085342b4579e6bd92189bb6b832b2fff5564382e2472be42748b630e8063d" +"checksum clap 2.33.0 (registry+https://github.com/rust-lang/crates.io-index)" = "5067f5bb2d80ef5d68b4c87db81601f0b75bca627bc2ef76b141d7b846a3c6d9" +"checksum cloudabi 0.0.3 (registry+https://github.com/rust-lang/crates.io-index)" = "ddfc5b9aa5d4507acaf872de71051dfd0e309860e88966e1051e462a077aac4f" +"checksum colored 1.8.0 (registry+https://github.com/rust-lang/crates.io-index)" = "6cdb90b60f2927f8d76139c72dbde7e10c3a2bc47c8594c9c7a66529f2687c03" +"checksum constant_time_eq 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)" = "995a44c877f9212528ccc74b21a232f66ad69001e40ede5bcee2ac9ef2657120" +"checksum crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)" = "04973fa96e96579258a5091af6003abde64af786b860f18622b82e026cca60e6" +"checksum dirs 2.0.2 (registry+https://github.com/rust-lang/crates.io-index)" = "13aea89a5c93364a98e9b37b2fa237effbb694d5cfe01c5b70941f7eb087d5e3" +"checksum dirs-sys 0.3.4 (registry+https://github.com/rust-lang/crates.io-index)" = "afa0b23de8fd801745c471deffa6e12d248f962c9fd4b4c33787b055599bde7b" +"checksum envmnt 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "7760c979bc8a1f3319ee72ee71df5eaaf02ada9daaa80ae257011cab0f3a25ef" +"checksum failure 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)" = "f8273f13c977665c5db7eb2b99ae520952fe5ac831ae4cd09d80c4c7042b5ed9" +"checksum failure_derive 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)" = "0bc225b78e0391e4b8683440bf2e63c2deeeb2ce5189eab46e2b68c6d3725d08" +"checksum fern 0.5.8 (registry+https://github.com/rust-lang/crates.io-index)" = "29d26fa0f4d433d1956746e66ec10d6bf4d6c8b93cd39965cceea7f7cc78c7dd" +"checksum fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "a06f77d526c1a601b7c4cdd98f54b5eaabffc14d5f2f0296febdc7f357c6d3ba" +"checksum getrandom 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)" = "473a1265acc8ff1e808cd0a1af8cee3c2ee5200916058a2ca113c29f2d903571" +"checksum glob 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)" = "9b919933a397b79c37e33b77bb2aa3dc8eb6e165ad809e58ff75bc7db2e34574" +"checksum indexmap 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "a61202fbe46c4a951e9404a720a0180bcf3212c750d735cb5c4ba4dc551299f3" +"checksum lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646" +"checksum libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)" = "34fcd2c08d2f832f376f4173a231990fa5aef4e99fb569867318a227ef4c06ba" +"checksum log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)" = "14b6052be84e6b71ab17edffc2eeabf5c2c3ae1fdb464aae35ac50c67a44e1f7" +"checksum memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "88579771288728879b57485cc7d6b07d648c9f0141eb955f8ab7f9d45394468e" +"checksum nodrop 0.1.14 (registry+https://github.com/rust-lang/crates.io-index)" = "72ef4a56884ca558e5ddb05a1d1e7e1bfd9a68d9ed024c21704cc98872dae1bb" +"checksum num-traits 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)" = "92e5113e9fd4cc14ded8e499429f396a20f98c772a47cc8622a736e1ec843c31" +"checksum num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)" = "6ba9a427cfca2be13aa6f6403b0b7e7368fe982bfa16fccc450ce74c46cd9b32" +"checksum ppv-lite86 0.2.5 (registry+https://github.com/rust-lang/crates.io-index)" = "e3cbf9f658cdb5000fcf6f362b8ea2ba154b9f146a61c7a20d647034c6b6561b" +"checksum proc-macro2 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)" = "90cf5f418035b98e655e9cdb225047638296b862b42411c4e45bb88d700f7fc0" +"checksum quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)" = "053a8c8bcc71fcce321828dc897a98ab9760bef03a4fc36693c231e5b3216cfe" +"checksum rand 0.4.6 (registry+https://github.com/rust-lang/crates.io-index)" = "552840b97013b1a26992c11eac34bdd778e464601a4c2054b5f0bff7c6761293" +"checksum rand 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)" = "3ae1b169243eaf61759b8475a998f0a385e42042370f3a7dbaf35246eacc8412" +"checksum rand_chacha 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "03a2a90da8c7523f554344f921aa97283eadf6ac484a6d2a7d0212fa7f8d6853" +"checksum rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "7a6fdeb83b075e8266dcc8762c22776f6877a63111121f5f8c7411e5be7eed4b" +"checksum rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)" = "9c33a3c44ca05fa6f1807d8e6743f3824e8509beca625669633be0acbdf509dc" +"checksum rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)" = "90bde5296fc891b0cef12a6d03ddccc162ce7b2aff54160af9338f8d40df6d19" +"checksum rand_hc 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ca3129af7b92a17112d59ad498c6f81eaf463253766b90396d39ea7a39d6613c" +"checksum rand_os 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)" = "7b75f676a1e053fc562eafbb47838d67c84801e38fc1ba459e8f180deabd5071" +"checksum rdrand 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "678054eb77286b51581ba43620cc911abf02758c91f93f479767aed0f90458b2" +"checksum redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)" = "2439c63f3f6139d1b57529d16bc3b8bb855230c8efcc5d3a896c8bea7c3b1e84" +"checksum redox_users 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "4ecedbca3bf205f8d8f5c2b44d83cd0690e39ee84b951ed649e9f1841132b66d" +"checksum regex 1.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "dc220bd33bdce8f093101afe22a037b8eb0e5af33592e6a9caafff0d4cb81cbd" +"checksum regex-syntax 0.6.12 (registry+https://github.com/rust-lang/crates.io-index)" = "11a7e20d1cce64ef2fed88b66d347f88bd9babb82845b2b858f3edbf59a4f716" +"checksum rgb 0.8.14 (registry+https://github.com/rust-lang/crates.io-index)" = "2089e4031214d129e201f8c3c8c2fe97cd7322478a0d1cdf78e7029b0042efdb" +"checksum run_script 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)" = "61b3a5ed82e15afc3e238178e2d22113af69ac88bd64a04499f025478853937f" +"checksum rust-argon2 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)" = "4ca4eaef519b494d1f2848fc602d18816fed808a981aedf4f1f00ceb7c9d32cf" +"checksum rust_info 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "6e4e04a5022c08c95c2285b0beb4cdd24c9b20bc018a263d6fdb0372f7a597db" +"checksum rustc-demangle 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)" = "4c691c0e608126e00913e33f0ccf3727d5fc84573623b8d65b2df340b5201783" +"checksum semver 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)" = "1d7eb9ef2c18661902cc47e535f9bc51b78acd254da71d375c2f6720d9a40403" +"checksum semver-parser 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "388a1df253eca08550bef6c72392cfe7c30914bf41df5269b68cbd6ff8f570a3" +"checksum serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)" = "9796c9b7ba2ffe7a9ce53c2287dfc48080f4b2b362fcc245a259b3a7201119dd" +"checksum serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)" = "4b133a43a1ecd55d4086bd5b4dc6c1751c68b1bfbeba7a5040442022c7e7c02e" +"checksum shell2batch 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)" = "185a52ee351c1001753c9e3b2eb48c525ff7f51803a4f2cef4365b5c3b743f65" +"checksum strsim 0.8.0 (registry+https://github.com/rust-lang/crates.io-index)" = "8ea5119cdb4c55b55d432abb513a0429384878c15dde60cc77b1c99de1a95a6a" +"checksum syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)" = "66850e97125af79138385e9b88339cbcd037e3f28ceab8c5ad98e64f0f1f80bf" +"checksum synstructure 0.12.1 (registry+https://github.com/rust-lang/crates.io-index)" = "3f085a5855930c0441ca1288cf044ea4aecf4f43a91668abdb870b4ba546a203" +"checksum textwrap 0.11.0 (registry+https://github.com/rust-lang/crates.io-index)" = "d326610f408c7a4eb6f51c37c330e496b08506c9457c9d34287ecc38809fb060" +"checksum thread_local 0.3.6 (registry+https://github.com/rust-lang/crates.io-index)" = "c6b53e329000edc2b34dbe8545fd20e55a333362d0a321909685a19bd28c3f1b" +"checksum toml 0.5.3 (registry+https://github.com/rust-lang/crates.io-index)" = "c7aabe75941d914b72bf3e5d3932ed92ce0664d49d8432305a8b547c37227724" +"checksum unicode-width 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)" = "7007dbd421b92cc6e28410fe7362e2e0a2503394908f417b68ec8d1c364c4e20" +"checksum unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "826e7639553986605ec5979c7dd957c7895e93eabed50ab2ffa7f6128a75097c" +"checksum users 0.9.1 (registry+https://github.com/rust-lang/crates.io-index)" = "c72f4267aea0c3ec6d07eaabea6ead7c5ddacfafc5e22bcf8d186706851fb4cf" +"checksum vec_map 0.8.1 (registry+https://github.com/rust-lang/crates.io-index)" = "05c78687fb1a80548ae3250346c3db86a80a7cdd77bda190189f2d0a0987c81a" +"checksum wasi 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "b89c3ce4ce14bdc6fb6beaf9ec7928ca331de5df7e5ea278375642a2f478570d" +"checksum winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)" = "8093091eeb260906a183e6ae1abdba2ef5ef2257a21801128899c3fc699229c6" +"checksum winapi-i686-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6" +"checksum winapi-x86_64-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f" +"checksum winconsole 0.10.0 (registry+https://github.com/rust-lang/crates.io-index)" = "3ef84b96d10db72dd980056666d7f1e7663ce93d82fa33b63e71c966f4cf5032" diff --git a/nixpkgs/pkgs/development/tools/rust/cargo-make/default.nix b/nixpkgs/pkgs/development/tools/rust/cargo-make/default.nix index 5b73752659b..0685c11ddfa 100644 --- a/nixpkgs/pkgs/development/tools/rust/cargo-make/default.nix +++ b/nixpkgs/pkgs/development/tools/rust/cargo-make/default.nix @@ -2,7 +2,7 @@ rustPlatform.buildRustPackage rec { pname = "cargo-make"; - version = "0.22.1"; + version = "0.23.0"; src = let @@ -10,22 +10,18 @@ rustPlatform.buildRustPackage rec { owner = "sagiegurari"; repo = pname; rev = version; - sha256 = "1wsams41zl56mkb8671n5fqkkchs68jd9nvfzry8axxiv7n175gc"; - }; - cargo-lock = fetchurl { - url = "https://gist.githubusercontent.com/xrelkd/e4c9c7738b21f284d97cb7b1d181317d/raw/850e9830f4ab4bc65da6eb5cd8b0911970a7739f/cargo-make-Cargo.lock"; - sha256 = "0knmzplxmh8vksmpg56l2p1a10hpqbr9hmbk3hv0aj63125rhhqy"; + sha256 = "1g62k0g9b5m8jaxxkbx0d59k8yb3di59l3p9m32hx617rn4k5wjd"; }; in runCommand "cargo-make-src" {} '' cp -R ${source} $out chmod +w $out - cp ${cargo-lock} $out/Cargo.lock + cp ${./Cargo.lock} $out/Cargo.lock ''; buildInputs = stdenv.lib.optionals stdenv.isDarwin [ Security ]; - cargoSha256 = "18j0nflf997z4nwdxifxp1ji1rbwqbg6zm2256j21am4ak45krsy"; + cargoSha256 = "1mfsjxvyybq9d5702habxq5abcp9h11qx0ci2rqs2rgkbcnksk98"; # Some tests fail because they need network access. # However, Travis ensures a proper build. @@ -37,7 +33,7 @@ rustPlatform.buildRustPackage rec { description = "A Rust task runner and build tool"; homepage = "https://github.com/sagiegurari/cargo-make"; license = licenses.asl20; - maintainers = with maintainers; [ xrelkd ]; + maintainers = with maintainers; [ xrelkd ma27 ]; platforms = platforms.all; }; } diff --git a/nixpkgs/pkgs/development/tools/rust/racer/default.nix b/nixpkgs/pkgs/development/tools/rust/racer/default.nix index a06723fc28c..65d3006a3b8 100644 --- a/nixpkgs/pkgs/development/tools/rust/racer/default.nix +++ b/nixpkgs/pkgs/development/tools/rust/racer/default.nix @@ -2,16 +2,16 @@ rustPlatform.buildRustPackage rec { pname = "racer"; - version = "2.1.22"; + version = "2.1.27"; src = fetchFromGitHub { owner = "racer-rust"; repo = "racer"; rev = "v${version}"; - sha256 = "1n808h4jqxkvpjwmj8jgi4y5is5zvr8vn42mwb3yi13mix32cysa"; + sha256 = "1ajj515ck5n0mzig77xnq1qgb2s4gf6ahgd5mab91406jvij9qf3"; }; - cargoSha256 = "0njaa9vk2i9g1c6sq20b7ls97nl532rfv3is7d8dwz51nrwk6jxs"; + cargoSha256 = "0ki5j5gh59c92a0w43kzljpiamv2jvyk4m2w8qldfyl5kaa40dxb"; buildInputs = [ makeWrapper ] ++ stdenv.lib.optional stdenv.isDarwin Security; @@ -21,7 +21,7 @@ rustPlatform.buildRustPackage rec { RUST_SRC_PATH = rustPlatform.rustcSrc; postInstall = '' - wrapProgram $out/bin/racer --set-default RUST_SRC_PATH $rustcSrc + wrapProgram $out/bin/racer --set-default RUST_SRC_PATH ${rustPlatform.rustcSrc} ''; checkPhase = '' @@ -31,7 +31,8 @@ rustPlatform.buildRustPackage rec { --skip util::test_get_rust_src_path_not_rust_source_tree \ --skip extern --skip completes_pub_fn --skip find_crate_doc \ --skip follows_use_local_package --skip follows_use_for_reexport \ - --skip follows_rand_crate --skip get_completion_in_example_dir + --skip follows_rand_crate --skip get_completion_in_example_dir \ + --skip test_resolve_global_path_in_modules ''; doInstallCheck = true; diff --git a/nixpkgs/pkgs/development/tools/rust/rustup/default.nix b/nixpkgs/pkgs/development/tools/rust/rustup/default.nix index ec0eb08bf23..d36306a0756 100644 --- a/nixpkgs/pkgs/development/tools/rust/rustup/default.nix +++ b/nixpkgs/pkgs/development/tools/rust/rustup/default.nix @@ -4,16 +4,16 @@ rustPlatform.buildRustPackage rec { pname = "rustup"; - version = "1.19.0"; + version = "1.20.2"; src = fetchFromGitHub { owner = "rust-lang"; repo = "rustup.rs"; rev = version; - sha256 = "1c0qz9s09ikgy23yssd57v7b5s005y128sldmq0xd9i1fryp129z"; + sha256 = "0vfrfjfg5x1g44w03rdci2ky7s3s7rljdcmmp5h6pdvhzrd234aj"; }; - cargoSha256 = "0rjm01pnb2w39c0jrscmhhsx9gsi3sl9cxd838m77h9pzwsp1h40"; + cargoSha256 = "1lsv1d99dn6mngaqhd3lw90cr3zg4gq08wi0adxkkhaikc9jjdwh"; nativeBuildInputs = [ pkgconfig ]; diff --git a/nixpkgs/pkgs/development/tools/trellis/default.nix b/nixpkgs/pkgs/development/tools/trellis/default.nix index d9ec787fc47..007f97753cd 100644 --- a/nixpkgs/pkgs/development/tools/trellis/default.nix +++ b/nixpkgs/pkgs/development/tools/trellis/default.nix @@ -8,25 +8,25 @@ let in stdenv.mkDerivation rec { pname = "trellis"; - version = "2019.09.27"; + version = "2019.10.13"; # git describe --tags realVersion = with stdenv.lib; with builtins; - "1.0-71-g${substring 0 7 (elemAt srcs 0).rev}"; + "1.0-95-g${substring 0 7 (elemAt srcs 0).rev}"; srcs = [ (fetchFromGitHub { - owner = "symbiflow"; + owner = "SymbiFlow"; repo = "prjtrellis"; - rev = "06904938dfe8f52392e73f7c8b1e034327887c27"; - sha256 = "1yk13pipj7wp2mma0qcss4sa0wx8h60x0l0x9awh8g2iyk1y8nfw"; + rev = "e2e10bfdfaa29fed5d19e83dc7460be9880f5af4"; + sha256 = "0l59nliv75rdxnajl2plilib0r0bzbr3qqzc88cdal841x1m0izs"; name = "trellis"; }) (fetchFromGitHub { - owner = "symbiflow"; + owner = "SymbiFlow"; repo = "prjtrellis-db"; - rev = "b4d626b6402c131e9a035470ffe4cf33ccbe7986"; - sha256 = "0k26lq6c049ja8hhqcljwjb1y5k4gcici23l2n86gyp83jr03ilx"; - name = "database"; + rev = "5b5bb70bae13e6b8c971b4b2d26931f4a64b51bc"; + sha256 = "1fi963zdny3gxdvq564037qs22i7b4y7mxc3yij2a1ww8rzrnpdj"; + name = "trellis-database"; }) ]; sourceRoot = "trellis"; diff --git a/nixpkgs/pkgs/development/tools/wasm-bindgen-cli/0001-Add-cargo.lock-for-rustPlatform.buildRustPackage-in-.patch b/nixpkgs/pkgs/development/tools/wasm-bindgen-cli/0001-Add-cargo.lock-for-rustPlatform.buildRustPackage-in-.patch new file mode 100644 index 00000000000..e564717a56f --- /dev/null +++ b/nixpkgs/pkgs/development/tools/wasm-bindgen-cli/0001-Add-cargo.lock-for-rustPlatform.buildRustPackage-in-.patch @@ -0,0 +1,2240 @@ +From 3d3c33a610856b861204ccb111777e9edd8799b8 Mon Sep 17 00:00:00 2001 +From: Maximilian Bosch <maximilian@mbosch.me> +Date: Thu, 3 Oct 2019 22:26:12 +0200 +Subject: [PATCH] Add cargo.lock for `rustPlatform.buildRustPackage` in nixpkgs + +--- + Cargo.lock | 2221 ++++++++++++++++++++++++++++++++++++++++++++++++++++ + 1 file changed, 2221 insertions(+) + create mode 100644 Cargo.lock + +diff --git a/Cargo.lock b/Cargo.lock +new file mode 100644 +index 00000000..d7d32a06 +--- /dev/null ++++ b/Cargo.lock +@@ -0,0 +1,2221 @@ ++# This file is automatically @generated by Cargo. ++# It is not intended for manual editing. ++[[package]] ++name = "add" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "adler32" ++version = "1.0.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "aho-corasick" ++version = "0.7.6" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "arrayref" ++version = "0.3.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "arrayvec" ++version = "0.4.11" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "nodrop 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "ascii" ++version = "0.8.7" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "askama" ++version = "0.7.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "askama_derive 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "askama_shared 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "askama_derive" ++version = "0.7.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "askama_shared 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "nom 4.2.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)", ++ "syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "askama_shared" ++version = "0.7.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "toml 0.4.10 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "assert_cmd" ++version = "0.11.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "escargot 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "predicates 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "predicates-core 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "predicates-tree 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "atty" ++version = "0.2.13" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "autocfg" ++version = "0.1.6" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "backtrace" ++version = "0.3.38" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "backtrace-sys 0.1.31 (registry+https://github.com/rust-lang/crates.io-index)", ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rustc-demangle 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "backtrace-sys" ++version = "0.1.31" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cc 1.0.45 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "base64" ++version = "0.9.3" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "safemem 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "base64" ++version = "0.10.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "bitflags" ++version = "0.7.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "bitflags" ++version = "1.2.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "blake2b_simd" ++version = "0.5.8" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "arrayref 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "arrayvec 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)", ++ "constant_time_eq 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "buf_redux" ++version = "0.8.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "safemem 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "bumpalo" ++version = "2.6.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "byteorder" ++version = "1.3.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "c2-chacha" ++version = "0.2.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "ppv-lite86 0.2.5 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "canvas" ++version = "0.1.0" ++dependencies = [ ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "cc" ++version = "1.0.45" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "cfg-if" ++version = "0.1.10" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "char" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "chrono" ++version = "0.4.9" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-integer 0.1.41 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "time 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "chunked_transfer" ++version = "0.3.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "closures" ++version = "0.1.0" ++dependencies = [ ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "cloudabi" ++version = "0.0.3" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "bitflags 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "color_quant" ++version = "1.0.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "console_error_panic_hook" ++version = "0.1.6" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "console_log" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "constant_time_eq" ++version = "0.1.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "crossbeam-deque" ++version = "0.7.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "crossbeam-epoch 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "crossbeam-epoch" ++version = "0.7.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "arrayvec 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)", ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "memoffset 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "scopeguard 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "crossbeam-queue" ++version = "0.1.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "crossbeam-utils" ++version = "0.6.6" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "curl" ++version = "0.4.24" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "curl-sys 0.4.22 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "openssl-probe 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "openssl-sys 0.9.50 (registry+https://github.com/rust-lang/crates.io-index)", ++ "schannel 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)", ++ "socket2 0.3.11 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "curl-sys" ++version = "0.4.22" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cc 1.0.45 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libz-sys 1.0.25 (registry+https://github.com/rust-lang/crates.io-index)", ++ "openssl-sys 0.9.50 (registry+https://github.com/rust-lang/crates.io-index)", ++ "pkg-config 0.3.16 (registry+https://github.com/rust-lang/crates.io-index)", ++ "vcpkg 0.2.7 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "deflate" ++version = "0.7.20" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "adler32 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "difference" ++version = "2.0.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "dirs" ++version = "1.0.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "redox_users 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "docopt" ++version = "1.1.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "regex 1.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "strsim 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "dom" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "either" ++version = "1.5.3" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "enum_primitive" ++version = "0.1.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "num-traits 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "env_logger" ++version = "0.7.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "atty 0.2.13 (registry+https://github.com/rust-lang/crates.io-index)", ++ "humantime 1.3.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "regex 1.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "termcolor 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "escargot" ++version = "0.4.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_json 1.0.40 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "failure" ++version = "0.1.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "backtrace 0.3.38 (registry+https://github.com/rust-lang/crates.io-index)", ++ "failure_derive 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "failure_derive" ++version = "0.1.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)", ++ "syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)", ++ "synstructure 0.10.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "fetch" ++version = "0.1.0" ++dependencies = [ ++ "js-sys 0.3.28", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-futures 0.4.1", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "filetime" ++version = "0.2.7" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "float-cmp" ++version = "0.4.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "foreign-types" ++version = "0.3.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "foreign-types-shared 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "foreign-types-shared" ++version = "0.1.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "fuchsia-cprng" ++version = "0.1.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "futures-channel-preview" ++version = "0.3.0-alpha.19" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "futures-core-preview 0.3.0-alpha.19 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "futures-core-preview" ++version = "0.3.0-alpha.19" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "getrandom" ++version = "0.1.12" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasi 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "gif" ++version = "0.9.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "color_quant 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "lzw 0.10.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "glob" ++version = "0.3.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "guide-supported-types-examples" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "heck" ++version = "0.3.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "unicode-segmentation 1.3.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "hello_world" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "httparse" ++version = "1.3.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "humantime" ++version = "1.3.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "quick-error 1.2.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "id-arena" ++version = "2.2.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rayon 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "idna" ++version = "0.1.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "matches 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "unicode-bidi 0.3.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "unicode-normalization 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "image" ++version = "0.12.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "enum_primitive 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "gif 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "jpeg-decoder 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-iter 0.1.39 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-rational 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-traits 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)", ++ "png 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "scoped_threadpool 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "import_js" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "inflate" ++version = "0.1.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "itoa" ++version = "0.4.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "jpeg-decoder" ++version = "0.1.16" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rayon 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "js-sys" ++version = "0.3.28" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-futures 0.4.1", ++ "wasm-bindgen-test 0.3.1", ++] ++ ++[[package]] ++name = "julia_set" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "lazy_static" ++version = "1.4.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "leb128" ++version = "0.2.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "libc" ++version = "0.2.62" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "libz-sys" ++version = "1.0.25" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cc 1.0.45 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "pkg-config 0.3.16 (registry+https://github.com/rust-lang/crates.io-index)", ++ "vcpkg 0.2.7 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "log" ++version = "0.3.9" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "log" ++version = "0.4.8" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "lzw" ++version = "0.10.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "matches" ++version = "0.1.8" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "memchr" ++version = "2.2.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "memoffset" ++version = "0.5.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rustc_version 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "mime" ++version = "0.2.6" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "log 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "mime_guess" ++version = "1.8.7" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "mime 0.2.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "phf 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)", ++ "phf_codegen 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)", ++ "unicase 1.4.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "multipart" ++version = "0.15.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "buf_redux 0.8.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "httparse 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "mime 0.2.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "mime_guess 1.8.7 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quick-error 1.2.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand 0.4.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "safemem 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "tempdir 0.3.7 (registry+https://github.com/rust-lang/crates.io-index)", ++ "twoway 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "no-std" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "nodrop" ++version = "0.1.13" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "nom" ++version = "4.2.3" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "version_check 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "normalize-line-endings" ++version = "0.2.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "num-integer" ++version = "0.1.41" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "num-iter" ++version = "0.1.39" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-integer 0.1.41 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "num-rational" ++version = "0.1.42" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "num-integer 0.1.41 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "num-traits" ++version = "0.1.43" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "num-traits" ++version = "0.2.8" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "num_cpus" ++version = "1.10.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "openssl" ++version = "0.10.25" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "bitflags 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "foreign-types 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "openssl-sys 0.9.50 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "openssl-probe" ++version = "0.1.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "openssl-src" ++version = "111.6.0+1.1.1d" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cc 1.0.45 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "openssl-sys" ++version = "0.9.50" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "cc 1.0.45 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "openssl-src 111.6.0+1.1.1d (registry+https://github.com/rust-lang/crates.io-index)", ++ "pkg-config 0.3.16 (registry+https://github.com/rust-lang/crates.io-index)", ++ "vcpkg 0.2.7 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "percent-encoding" ++version = "1.0.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "performance" ++version = "0.1.0" ++dependencies = [ ++ "humantime 1.3.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "phf" ++version = "0.7.24" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "phf_shared 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "phf_codegen" ++version = "0.7.24" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "phf_generator 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)", ++ "phf_shared 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "phf_generator" ++version = "0.7.24" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "phf_shared 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand 0.6.5 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "phf_shared" ++version = "0.7.24" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "siphasher 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "unicase 1.4.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "pkg-config" ++version = "0.3.16" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "png" ++version = "0.6.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "bitflags 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "deflate 0.7.20 (registry+https://github.com/rust-lang/crates.io-index)", ++ "inflate 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num-iter 0.1.39 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "ppv-lite86" ++version = "0.2.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "predicates" ++version = "1.0.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "difference 2.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "float-cmp 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "normalize-line-endings 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "predicates-core 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "regex 1.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "predicates-core" ++version = "1.0.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "predicates-tree" ++version = "1.0.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "predicates-core 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "treeline 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "proc-macro2" ++version = "0.4.30" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "proc-macro2" ++version = "1.0.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "quick-error" ++version = "1.2.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "quote" ++version = "0.6.13" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "quote" ++version = "1.0.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand" ++version = "0.4.6" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rdrand 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand" ++version = "0.5.6" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cloudabi 0.0.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand" ++version = "0.6.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_chacha 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_hc 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_isaac 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_jitter 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_os 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_pcg 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_xorshift 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand" ++version = "0.7.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "getrandom 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_chacha 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_hc 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_chacha" ++version = "0.1.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_chacha" ++version = "0.2.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "c2-chacha 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_core" ++version = "0.3.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_core" ++version = "0.4.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "rand_core" ++version = "0.5.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "getrandom 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_hc" ++version = "0.1.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_hc" ++version = "0.2.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_isaac" ++version = "0.1.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_jitter" ++version = "0.1.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_os" ++version = "0.1.3" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cloudabi 0.0.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rdrand 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_pcg" ++version = "0.1.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rand_xorshift" ++version = "0.1.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rayon" ++version = "1.2.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "crossbeam-deque 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "either 1.5.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rayon-core 1.6.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rayon-core" ++version = "1.6.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "crossbeam-deque 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "crossbeam-queue 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num_cpus 1.10.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "raytrace-parallel" ++version = "0.1.0" ++dependencies = [ ++ "console_error_panic_hook 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "futures-channel-preview 0.3.0-alpha.19 (registry+https://github.com/rust-lang/crates.io-index)", ++ "js-sys 0.3.28", ++ "rayon 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rayon-core 1.6.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "raytracer 0.1.0 (git+https://github.com/alexcrichton/raytracer?branch=update-deps)", ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-futures 0.4.1", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "raytracer" ++version = "0.1.0" ++source = "git+https://github.com/alexcrichton/raytracer?branch=update-deps#42faa13859f7d8d47fd18be785c108003a207786" ++dependencies = [ ++ "image 0.12.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rdrand" ++version = "0.4.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "redox_syscall" ++version = "0.1.56" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "redox_users" ++version = "0.3.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand_os 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rust-argon2 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "regex" ++version = "1.3.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "aho-corasick 0.7.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "regex-syntax 0.6.12 (registry+https://github.com/rust-lang/crates.io-index)", ++ "thread_local 0.3.6 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "regex-syntax" ++version = "0.6.12" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "remove_dir_all" ++version = "0.5.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "request-animation-frame" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "rouille" ++version = "3.0.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "base64 0.9.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "chrono 0.4.9 (registry+https://github.com/rust-lang/crates.io-index)", ++ "filetime 0.2.7 (registry+https://github.com/rust-lang/crates.io-index)", ++ "multipart 0.15.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "num_cpus 1.10.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand 0.5.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_json 1.0.40 (registry+https://github.com/rust-lang/crates.io-index)", ++ "sha1 0.6.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "term 0.5.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "threadpool 1.7.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "time 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)", ++ "tiny_http 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "url 1.7.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rust-argon2" ++version = "0.5.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "base64 0.10.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "blake2b_simd 0.5.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "rust-duck-typed-interfaces" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "rustc-demangle" ++version = "0.1.16" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "rustc_version" ++version = "0.2.3" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "semver 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "ryu" ++version = "1.0.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "safemem" ++version = "0.3.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "sample" ++version = "0.1.0" ++dependencies = [ ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-futures 0.4.1", ++ "wasm-bindgen-test 0.3.1", ++] ++ ++[[package]] ++name = "schannel" ++version = "0.1.16" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "scoped-tls" ++version = "1.0.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "scoped_threadpool" ++version = "0.1.9" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "scopeguard" ++version = "1.0.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "semver" ++version = "0.9.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "semver-parser 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "semver-parser" ++version = "0.7.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "serde" ++version = "1.0.101" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "serde_derive" ++version = "1.0.101" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "serde_json" ++version = "1.0.40" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "itoa 0.4.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "ryu 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "sha1" ++version = "0.6.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "siphasher" ++version = "0.2.3" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "smallvec" ++version = "0.6.10" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "socket2" ++version = "0.3.11" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "sourcefile" ++version = "0.1.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "strsim" ++version = "0.9.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "syn" ++version = "0.15.44" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)", ++ "unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "syn" ++version = "1.0.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "synstructure" ++version = "0.10.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)", ++ "syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)", ++ "unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "tempdir" ++version = "0.3.7" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "rand 0.4.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "remove_dir_all 0.5.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "tempfile" ++version = "3.1.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rand 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)", ++ "remove_dir_all 0.5.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "term" ++version = "0.5.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "dirs 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "termcolor" ++version = "1.0.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "wincolor 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "thread_local" ++version = "0.3.6" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "threadpool" ++version = "1.7.1" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "num_cpus 1.10.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "time" ++version = "0.1.42" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)", ++ "redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "tiny_http" ++version = "0.6.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "ascii 0.8.7 (registry+https://github.com/rust-lang/crates.io-index)", ++ "chrono 0.4.9 (registry+https://github.com/rust-lang/crates.io-index)", ++ "chunked_transfer 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "url 1.7.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "todomvc" ++version = "0.1.0" ++dependencies = [ ++ "askama 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "console_error_panic_hook 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "toml" ++version = "0.4.10" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "toml" ++version = "0.5.3" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "treeline" ++version = "0.1.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "trybuild" ++version = "1.0.16" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "glob 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_json 1.0.40 (registry+https://github.com/rust-lang/crates.io-index)", ++ "termcolor 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "toml 0.5.3 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "twoway" ++version = "0.1.8" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "typescript-tests" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "unicase" ++version = "1.4.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "version_check 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "unicode-bidi" ++version = "0.3.4" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "matches 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "unicode-normalization" ++version = "0.1.8" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "smallvec 0.6.10 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "unicode-segmentation" ++version = "1.3.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "unicode-xid" ++version = "0.1.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "unicode-xid" ++version = "0.2.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "url" ++version = "1.7.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "idna 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "matches 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "percent-encoding 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "vcpkg" ++version = "0.2.7" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "version_check" ++version = "0.1.5" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "walrus" ++version = "0.12.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "id-arena 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "leb128 0.2.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rayon 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus-macro 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasmparser 0.37.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "walrus-macro" ++version = "0.12.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "heck 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasi" ++version = "0.7.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "wasm-bindgen" ++version = "0.2.51" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "js-sys 0.3.28", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_json 1.0.40 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen-futures 0.4.1", ++ "wasm-bindgen-macro 0.2.51", ++ "wasm-bindgen-test 0.3.1", ++ "wasm-bindgen-test-crate-a 0.1.0", ++ "wasm-bindgen-test-crate-b 0.1.0", ++] ++ ++[[package]] ++name = "wasm-bindgen-anyref-xform" ++version = "0.2.51" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasm-bindgen-backend" ++version = "0.2.51" ++dependencies = [ ++ "bumpalo 2.6.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen-shared 0.2.51", ++] ++ ++[[package]] ++name = "wasm-bindgen-benchmark" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "wasm-bindgen-cli" ++version = "0.2.51" ++dependencies = [ ++ "assert_cmd 0.11.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "curl 0.4.24 (registry+https://github.com/rust-lang/crates.io-index)", ++ "docopt 1.1.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "env_logger 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "openssl 0.10.25 (registry+https://github.com/rust-lang/crates.io-index)", ++ "predicates 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rouille 3.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_json 1.0.40 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen-cli-support 0.2.51", ++ "wasm-bindgen-shared 0.2.51", ++] ++ ++[[package]] ++name = "wasm-bindgen-cli-support" ++version = "0.2.51" ++dependencies = [ ++ "base64 0.9.3 (registry+https://github.com/rust-lang/crates.io-index)", ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "rustc-demangle 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)", ++ "serde_json 1.0.40 (registry+https://github.com/rust-lang/crates.io-index)", ++ "tempfile 3.1.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen-anyref-xform 0.2.51", ++ "wasm-bindgen-multi-value-xform 0.2.51", ++ "wasm-bindgen-shared 0.2.51", ++ "wasm-bindgen-threads-xform 0.2.51", ++ "wasm-bindgen-wasm-conventions 0.2.51", ++ "wasm-bindgen-wasm-interpreter 0.2.51", ++ "wasm-webidl-bindings 0.5.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasm-bindgen-futures" ++version = "0.4.1" ++dependencies = [ ++ "cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)", ++ "futures-channel-preview 0.3.0-alpha.19 (registry+https://github.com/rust-lang/crates.io-index)", ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-test 0.3.1", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "wasm-bindgen-macro" ++version = "0.2.51" ++dependencies = [ ++ "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "trybuild 1.0.16 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-futures 0.4.1", ++ "wasm-bindgen-macro-support 0.2.51", ++] ++ ++[[package]] ++name = "wasm-bindgen-macro-support" ++version = "0.2.51" ++dependencies = [ ++ "proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen-backend 0.2.51", ++ "wasm-bindgen-shared 0.2.51", ++] ++ ++[[package]] ++name = "wasm-bindgen-multi-value-xform" ++version = "0.2.51" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasm-bindgen-paint" ++version = "0.1.0" ++dependencies = [ ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "wasm-bindgen-shared" ++version = "0.2.51" ++ ++[[package]] ++name = "wasm-bindgen-test" ++version = "0.3.1" ++dependencies = [ ++ "console_error_panic_hook 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)", ++ "js-sys 0.3.28", ++ "scoped-tls 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-futures 0.4.1", ++ "wasm-bindgen-test-macro 0.3.1", ++] ++ ++[[package]] ++name = "wasm-bindgen-test-crate-a" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "wasm-bindgen-test-crate-b" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "wasm-bindgen-test-macro" ++version = "0.3.1" ++dependencies = [ ++ "proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasm-bindgen-threads-xform" ++version = "0.2.51" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen-wasm-conventions 0.2.51", ++] ++ ++[[package]] ++name = "wasm-bindgen-wasm-conventions" ++version = "0.2.51" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasm-bindgen-wasm-interpreter" ++version = "0.2.51" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "tempfile 3.1.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasm-bindgen-webidl" ++version = "0.2.51" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "heck 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)", ++ "syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen-backend 0.2.51", ++ "weedle 0.10.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasm-in-wasm" ++version = "0.1.0" ++dependencies = [ ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "wasm-webidl-bindings" ++version = "0.5.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "id-arena 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)", ++ "leb128 0.2.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "wasm2js" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++] ++ ++[[package]] ++name = "wasmparser" ++version = "0.37.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "web-sys" ++version = "0.3.28" ++dependencies = [ ++ "env_logger 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)", ++ "js-sys 0.3.28", ++ "sourcefile 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)", ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-futures 0.4.1", ++ "wasm-bindgen-test 0.3.1", ++ "wasm-bindgen-webidl 0.2.51", ++] ++ ++[[package]] ++name = "webaudio" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "webgl" ++version = "0.1.0" ++dependencies = [ ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "webidl-tests" ++version = "0.1.0" ++dependencies = [ ++ "env_logger 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "js-sys 0.3.28", ++ "wasm-bindgen 0.2.51", ++ "wasm-bindgen-test 0.3.1", ++ "wasm-bindgen-webidl 0.2.51", ++] ++ ++[[package]] ++name = "websockets" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "weedle" ++version = "0.10.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "nom 4.2.3 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "winapi" ++version = "0.3.8" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "winapi-i686-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi-x86_64-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "winapi-i686-pc-windows-gnu" ++version = "0.4.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "winapi-util" ++version = "0.1.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "winapi-x86_64-pc-windows-gnu" ++version = "0.4.0" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++ ++[[package]] ++name = "wincolor" ++version = "1.0.2" ++source = "registry+https://github.com/rust-lang/crates.io-index" ++dependencies = [ ++ "winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)", ++ "winapi-util 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)", ++] ++ ++[[package]] ++name = "without-a-bundler" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[[package]] ++name = "without-a-bundler-no-modules" ++version = "0.1.0" ++dependencies = [ ++ "wasm-bindgen 0.2.51", ++ "web-sys 0.3.28", ++] ++ ++[metadata] ++"checksum adler32 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)" = "5d2e7343e7fc9de883d1b0341e0b13970f764c14101234857d2ddafa1cb1cac2" ++"checksum aho-corasick 0.7.6 (registry+https://github.com/rust-lang/crates.io-index)" = "58fb5e95d83b38284460a5fda7d6470aa0b8844d283a0b614b8535e880800d2d" ++"checksum arrayref 0.3.5 (registry+https://github.com/rust-lang/crates.io-index)" = "0d382e583f07208808f6b1249e60848879ba3543f57c32277bf52d69c2f0f0ee" ++"checksum arrayvec 0.4.11 (registry+https://github.com/rust-lang/crates.io-index)" = "b8d73f9beda665eaa98ab9e4f7442bd4e7de6652587de55b2525e52e29c1b0ba" ++"checksum ascii 0.8.7 (registry+https://github.com/rust-lang/crates.io-index)" = "97be891acc47ca214468e09425d02cef3af2c94d0d82081cd02061f996802f14" ++"checksum askama 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)" = "eed81479263c8753e06f4981f5a313b3fe6cbff30c3ff8d9ae15ef0c72d93fb5" ++"checksum askama_derive 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)" = "46580c08e5520afadc6e9064759e15fc743489a4db78f9c751113e3d32a1e083" ++"checksum askama_shared 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)" = "64509fd5c2fa767fa7ea973b732c61f0b8d30d1adf084e5164523e51a5e35d71" ++"checksum assert_cmd 0.11.1 (registry+https://github.com/rust-lang/crates.io-index)" = "2dc477793bd82ec39799b6f6b3df64938532fdf2ab0d49ef817eac65856a5a1e" ++"checksum atty 0.2.13 (registry+https://github.com/rust-lang/crates.io-index)" = "1803c647a3ec87095e7ae7acfca019e98de5ec9a7d01343f611cf3152ed71a90" ++"checksum autocfg 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)" = "b671c8fb71b457dd4ae18c4ba1e59aa81793daacc361d82fcd410cef0d491875" ++"checksum backtrace 0.3.38 (registry+https://github.com/rust-lang/crates.io-index)" = "690a62be8920ccf773ee00ef0968649b0e724cda8bd5b12286302b4ae955fdf5" ++"checksum backtrace-sys 0.1.31 (registry+https://github.com/rust-lang/crates.io-index)" = "82a830b4ef2d1124a711c71d263c5abdc710ef8e907bd508c88be475cebc422b" ++"checksum base64 0.10.1 (registry+https://github.com/rust-lang/crates.io-index)" = "0b25d992356d2eb0ed82172f5248873db5560c4721f564b13cb5193bda5e668e" ++"checksum base64 0.9.3 (registry+https://github.com/rust-lang/crates.io-index)" = "489d6c0ed21b11d038c31b6ceccca973e65d73ba3bd8ecb9a2babf5546164643" ++"checksum bitflags 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "aad18937a628ec6abcd26d1489012cc0e18c21798210f491af69ded9b881106d" ++"checksum bitflags 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "8a606a02debe2813760609f57a64a2ffd27d9fdf5b2f133eaca0b248dd92cdd2" ++"checksum blake2b_simd 0.5.8 (registry+https://github.com/rust-lang/crates.io-index)" = "5850aeee1552f495dd0250014cf64b82b7c8879a89d83b33bbdace2cc4f63182" ++"checksum buf_redux 0.8.4 (registry+https://github.com/rust-lang/crates.io-index)" = "b953a6887648bb07a535631f2bc00fbdb2a2216f135552cb3f534ed136b9c07f" ++"checksum bumpalo 2.6.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ad807f2fc2bf185eeb98ff3a901bd46dc5ad58163d0fa4577ba0d25674d71708" ++"checksum byteorder 1.3.2 (registry+https://github.com/rust-lang/crates.io-index)" = "a7c3dd8985a7111efc5c80b44e23ecdd8c007de8ade3b96595387e812b957cf5" ++"checksum c2-chacha 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "7d64d04786e0f528460fc884753cf8dddcc466be308f6026f8e355c41a0e4101" ++"checksum cc 1.0.45 (registry+https://github.com/rust-lang/crates.io-index)" = "4fc9a35e1f4290eb9e5fc54ba6cf40671ed2a2514c3eeb2b2a908dda2ea5a1be" ++"checksum cfg-if 0.1.10 (registry+https://github.com/rust-lang/crates.io-index)" = "4785bdd1c96b2a846b2bd7cc02e86b6b3dbf14e7e53446c4f54c92a361040822" ++"checksum chrono 0.4.9 (registry+https://github.com/rust-lang/crates.io-index)" = "e8493056968583b0193c1bb04d6f7684586f3726992d6c573261941a895dbd68" ++"checksum chunked_transfer 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "498d20a7aaf62625b9bf26e637cf7736417cde1d0c99f1d04d1170229a85cf87" ++"checksum cloudabi 0.0.3 (registry+https://github.com/rust-lang/crates.io-index)" = "ddfc5b9aa5d4507acaf872de71051dfd0e309860e88966e1051e462a077aac4f" ++"checksum color_quant 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)" = "0dbbb57365263e881e805dc77d94697c9118fd94d8da011240555aa7b23445bd" ++"checksum console_error_panic_hook 0.1.6 (registry+https://github.com/rust-lang/crates.io-index)" = "b8d976903543e0c48546a91908f21588a680a8c8f984df9a5d69feccb2b2a211" ++"checksum constant_time_eq 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)" = "995a44c877f9212528ccc74b21a232f66ad69001e40ede5bcee2ac9ef2657120" ++"checksum crossbeam-deque 0.7.1 (registry+https://github.com/rust-lang/crates.io-index)" = "b18cd2e169ad86297e6bc0ad9aa679aee9daa4f19e8163860faf7c164e4f5a71" ++"checksum crossbeam-epoch 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)" = "fedcd6772e37f3da2a9af9bf12ebe046c0dfe657992377b4df982a2b54cd37a9" ++"checksum crossbeam-queue 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)" = "7c979cd6cfe72335896575c6b5688da489e420d36a27a0b9eb0c73db574b4a4b" ++"checksum crossbeam-utils 0.6.6 (registry+https://github.com/rust-lang/crates.io-index)" = "04973fa96e96579258a5091af6003abde64af786b860f18622b82e026cca60e6" ++"checksum curl 0.4.24 (registry+https://github.com/rust-lang/crates.io-index)" = "d08ad3cb89d076a36b0ce5749eec2c9964f70c0c58480ab6b75a91ec4fc206d8" ++"checksum curl-sys 0.4.22 (registry+https://github.com/rust-lang/crates.io-index)" = "2e9a9a4e417722876332136a00cacf92c2ceb331fab4b52b6a1ad16c6cd79255" ++"checksum deflate 0.7.20 (registry+https://github.com/rust-lang/crates.io-index)" = "707b6a7b384888a70c8d2e8650b3e60170dfc6a67bb4aa67b6dfca57af4bedb4" ++"checksum difference 2.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "524cbf6897b527295dff137cec09ecf3a05f4fddffd7dfcd1585403449e74198" ++"checksum dirs 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)" = "3fd78930633bd1c6e35c4b42b1df7b0cbc6bc191146e512bb3bedf243fcc3901" ++"checksum docopt 1.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "7f525a586d310c87df72ebcd98009e57f1cc030c8c268305287a476beb653969" ++"checksum either 1.5.3 (registry+https://github.com/rust-lang/crates.io-index)" = "bb1f6b1ce1c140482ea30ddd3335fc0024ac7ee112895426e0a629a6c20adfe3" ++"checksum enum_primitive 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "be4551092f4d519593039259a9ed8daedf0da12e5109c5280338073eaeb81180" ++"checksum env_logger 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "39ecdb7dd54465526f0a56d666e3b2dd5f3a218665a030b6e4ad9e70fa95d8fa" ++"checksum escargot 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ceb9adbf9874d5d028b5e4c5739d22b71988252b25c9c98fe7cf9738bee84597" ++"checksum failure 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)" = "795bd83d3abeb9220f257e597aa0080a508b27533824adf336529648f6abf7e2" ++"checksum failure_derive 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)" = "ea1063915fd7ef4309e222a5a07cf9c319fb9c7836b1f89b85458672dbb127e1" ++"checksum filetime 0.2.7 (registry+https://github.com/rust-lang/crates.io-index)" = "6bd7380b54ced79dda72ecc35cc4fbbd1da6bba54afaa37e96fd1c2a308cd469" ++"checksum float-cmp 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "134a8fa843d80a51a5b77d36d42bc2def9edcb0262c914861d08129fd1926600" ++"checksum foreign-types 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)" = "f6f339eb8adc052cd2ca78910fda869aefa38d22d5cb648e6485e4d3fc06f3b1" ++"checksum foreign-types-shared 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b" ++"checksum fuchsia-cprng 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "a06f77d526c1a601b7c4cdd98f54b5eaabffc14d5f2f0296febdc7f357c6d3ba" ++"checksum futures-channel-preview 0.3.0-alpha.19 (registry+https://github.com/rust-lang/crates.io-index)" = "d5e5f4df964fa9c1c2f8bddeb5c3611631cacd93baf810fc8bb2fb4b495c263a" ++"checksum futures-core-preview 0.3.0-alpha.19 (registry+https://github.com/rust-lang/crates.io-index)" = "b35b6263fb1ef523c3056565fa67b1d16f0a8604ff12b11b08c25f28a734c60a" ++"checksum getrandom 0.1.12 (registry+https://github.com/rust-lang/crates.io-index)" = "473a1265acc8ff1e808cd0a1af8cee3c2ee5200916058a2ca113c29f2d903571" ++"checksum gif 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)" = "e2e41945ba23db3bf51b24756d73d81acb4f28d85c3dccc32c6fae904438c25f" ++"checksum glob 0.3.0 (registry+https://github.com/rust-lang/crates.io-index)" = "9b919933a397b79c37e33b77bb2aa3dc8eb6e165ad809e58ff75bc7db2e34574" ++"checksum heck 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "20564e78d53d2bb135c343b3f47714a56af2061f1c928fdb541dc7b9fdd94205" ++"checksum httparse 1.3.4 (registry+https://github.com/rust-lang/crates.io-index)" = "cd179ae861f0c2e53da70d892f5f3029f9594be0c41dc5269cd371691b1dc2f9" ++"checksum humantime 1.3.0 (registry+https://github.com/rust-lang/crates.io-index)" = "df004cfca50ef23c36850aaaa59ad52cc70d0e90243c3c7737a4dd32dc7a3c4f" ++"checksum id-arena 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "25a2bc672d1148e28034f176e01fffebb08b35768468cc954630da77a1449005" ++"checksum idna 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)" = "38f09e0f0b1fb55fdee1f17470ad800da77af5186a1a76c026b679358b7e844e" ++"checksum image 0.12.4 (registry+https://github.com/rust-lang/crates.io-index)" = "d95816db758249fe16f23a4e23f1a3a817fe11892dbfd1c5836f625324702158" ++"checksum inflate 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "e7e0062d2dc2f17d2f13750d95316ae8a2ff909af0fda957084f5defd87c43bb" ++"checksum itoa 0.4.4 (registry+https://github.com/rust-lang/crates.io-index)" = "501266b7edd0174f8530248f87f99c88fbe60ca4ef3dd486835b8d8d53136f7f" ++"checksum jpeg-decoder 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)" = "c1aae18ffeeae409c6622c3b6a7ee49792a7e5a062eea1b135fbb74e301792ba" ++"checksum lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646" ++"checksum leb128 0.2.4 (registry+https://github.com/rust-lang/crates.io-index)" = "3576a87f2ba00f6f106fdfcd16db1d698d648a26ad8e0573cad8537c3c362d2a" ++"checksum libc 0.2.62 (registry+https://github.com/rust-lang/crates.io-index)" = "34fcd2c08d2f832f376f4173a231990fa5aef4e99fb569867318a227ef4c06ba" ++"checksum libz-sys 1.0.25 (registry+https://github.com/rust-lang/crates.io-index)" = "2eb5e43362e38e2bca2fd5f5134c4d4564a23a5c28e9b95411652021a8675ebe" ++"checksum log 0.3.9 (registry+https://github.com/rust-lang/crates.io-index)" = "e19e8d5c34a3e0e2223db8e060f9e8264aeeb5c5fc64a4ee9965c062211c024b" ++"checksum log 0.4.8 (registry+https://github.com/rust-lang/crates.io-index)" = "14b6052be84e6b71ab17edffc2eeabf5c2c3ae1fdb464aae35ac50c67a44e1f7" ++"checksum lzw 0.10.0 (registry+https://github.com/rust-lang/crates.io-index)" = "7d947cbb889ed21c2a84be6ffbaebf5b4e0f4340638cba0444907e38b56be084" ++"checksum matches 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)" = "7ffc5c5338469d4d3ea17d269fa8ea3512ad247247c30bd2df69e68309ed0a08" ++"checksum memchr 2.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "88579771288728879b57485cc7d6b07d648c9f0141eb955f8ab7f9d45394468e" ++"checksum memoffset 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)" = "ce6075db033bbbb7ee5a0bbd3a3186bbae616f57fb001c485c7ff77955f8177f" ++"checksum mime 0.2.6 (registry+https://github.com/rust-lang/crates.io-index)" = "ba626b8a6de5da682e1caa06bdb42a335aee5a84db8e5046a3e8ab17ba0a3ae0" ++"checksum mime_guess 1.8.7 (registry+https://github.com/rust-lang/crates.io-index)" = "0d977de9ee851a0b16e932979515c0f3da82403183879811bc97d50bd9cc50f7" ++"checksum multipart 0.15.4 (registry+https://github.com/rust-lang/crates.io-index)" = "adba94490a79baf2d6a23eac897157047008272fa3eecb3373ae6377b91eca28" ++"checksum nodrop 0.1.13 (registry+https://github.com/rust-lang/crates.io-index)" = "2f9667ddcc6cc8a43afc9b7917599d7216aa09c463919ea32c59ed6cac8bc945" ++"checksum nom 4.2.3 (registry+https://github.com/rust-lang/crates.io-index)" = "2ad2a91a8e869eeb30b9cb3119ae87773a8f4ae617f41b1eb9c154b2905f7bd6" ++"checksum normalize-line-endings 0.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "2e0a1a39eab95caf4f5556da9289b9e68f0aafac901b2ce80daaf020d3b733a8" ++"checksum num-integer 0.1.41 (registry+https://github.com/rust-lang/crates.io-index)" = "b85e541ef8255f6cf42bbfe4ef361305c6c135d10919ecc26126c4e5ae94bc09" ++"checksum num-iter 0.1.39 (registry+https://github.com/rust-lang/crates.io-index)" = "76bd5272412d173d6bf9afdf98db8612bbabc9a7a830b7bfc9c188911716132e" ++"checksum num-rational 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)" = "ee314c74bd753fc86b4780aa9475da469155f3848473a261d2d18e35245a784e" ++"checksum num-traits 0.1.43 (registry+https://github.com/rust-lang/crates.io-index)" = "92e5113e9fd4cc14ded8e499429f396a20f98c772a47cc8622a736e1ec843c31" ++"checksum num-traits 0.2.8 (registry+https://github.com/rust-lang/crates.io-index)" = "6ba9a427cfca2be13aa6f6403b0b7e7368fe982bfa16fccc450ce74c46cd9b32" ++"checksum num_cpus 1.10.1 (registry+https://github.com/rust-lang/crates.io-index)" = "bcef43580c035376c0705c42792c294b66974abbfd2789b511784023f71f3273" ++"checksum openssl 0.10.25 (registry+https://github.com/rust-lang/crates.io-index)" = "2f372b2b53ce10fb823a337aaa674e3a7d072b957c6264d0f4ff0bd86e657449" ++"checksum openssl-probe 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)" = "77af24da69f9d9341038eba93a073b1fdaaa1b788221b00a69bce9e762cb32de" ++"checksum openssl-src 111.6.0+1.1.1d (registry+https://github.com/rust-lang/crates.io-index)" = "b9c2da1de8a7a3f860919c01540b03a6db16de042405a8a07a5e9d0b4b825d9c" ++"checksum openssl-sys 0.9.50 (registry+https://github.com/rust-lang/crates.io-index)" = "2c42dcccb832556b5926bc9ae61e8775f2a61e725ab07ab3d1e7fcf8ae62c3b6" ++"checksum percent-encoding 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)" = "31010dd2e1ac33d5b46a5b413495239882813e0369f8ed8a5e266f173602f831" ++"checksum phf 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)" = "b3da44b85f8e8dfaec21adae67f95d93244b2ecf6ad2a692320598dcc8e6dd18" ++"checksum phf_codegen 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)" = "b03e85129e324ad4166b06b2c7491ae27fe3ec353af72e72cd1654c7225d517e" ++"checksum phf_generator 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)" = "09364cc93c159b8b06b1f4dd8a4398984503483891b0c26b867cf431fb132662" ++"checksum phf_shared 0.7.24 (registry+https://github.com/rust-lang/crates.io-index)" = "234f71a15de2288bcb7e3b6515828d22af7ec8598ee6d24c3b526fa0a80b67a0" ++"checksum pkg-config 0.3.16 (registry+https://github.com/rust-lang/crates.io-index)" = "72d5370d90f49f70bd033c3d75e87fc529fbfff9d6f7cccef07d6170079d91ea" ++"checksum png 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)" = "3cb773e9a557edb568ce9935cf783e3cdcabe06a9449d41b3e5506d88e582c82" ++"checksum ppv-lite86 0.2.5 (registry+https://github.com/rust-lang/crates.io-index)" = "e3cbf9f658cdb5000fcf6f362b8ea2ba154b9f146a61c7a20d647034c6b6561b" ++"checksum predicates 1.0.1 (registry+https://github.com/rust-lang/crates.io-index)" = "53e09015b0d3f5a0ec2d4428f7559bb7b3fff341b4e159fedd1d57fac8b939ff" ++"checksum predicates-core 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "06075c3a3e92559ff8929e7a280684489ea27fe44805174c3ebd9328dcb37178" ++"checksum predicates-tree 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "8e63c4859013b38a76eca2414c64911fba30def9e3202ac461a2d22831220124" ++"checksum proc-macro2 0.4.30 (registry+https://github.com/rust-lang/crates.io-index)" = "cf3d2011ab5c909338f7887f4fc896d35932e29146c12c8d01da6b22a80ba759" ++"checksum proc-macro2 1.0.4 (registry+https://github.com/rust-lang/crates.io-index)" = "afdc77cc74ec70ed262262942ebb7dac3d479e9e5cfa2da1841c0806f6cdabcc" ++"checksum quick-error 1.2.2 (registry+https://github.com/rust-lang/crates.io-index)" = "9274b940887ce9addde99c4eee6b5c44cc494b182b97e73dc8ffdcb3397fd3f0" ++"checksum quote 0.6.13 (registry+https://github.com/rust-lang/crates.io-index)" = "6ce23b6b870e8f94f81fb0a363d65d86675884b34a09043c81e5562f11c1f8e1" ++"checksum quote 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)" = "053a8c8bcc71fcce321828dc897a98ab9760bef03a4fc36693c231e5b3216cfe" ++"checksum rand 0.4.6 (registry+https://github.com/rust-lang/crates.io-index)" = "552840b97013b1a26992c11eac34bdd778e464601a4c2054b5f0bff7c6761293" ++"checksum rand 0.5.6 (registry+https://github.com/rust-lang/crates.io-index)" = "c618c47cd3ebd209790115ab837de41425723956ad3ce2e6a7f09890947cacb9" ++"checksum rand 0.6.5 (registry+https://github.com/rust-lang/crates.io-index)" = "6d71dacdc3c88c1fde3885a3be3fbab9f35724e6ce99467f7d9c5026132184ca" ++"checksum rand 0.7.2 (registry+https://github.com/rust-lang/crates.io-index)" = "3ae1b169243eaf61759b8475a998f0a385e42042370f3a7dbaf35246eacc8412" ++"checksum rand_chacha 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "556d3a1ca6600bfcbab7c7c91ccb085ac7fbbcd70e008a98742e7847f4f7bcef" ++"checksum rand_chacha 0.2.1 (registry+https://github.com/rust-lang/crates.io-index)" = "03a2a90da8c7523f554344f921aa97283eadf6ac484a6d2a7d0212fa7f8d6853" ++"checksum rand_core 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "7a6fdeb83b075e8266dcc8762c22776f6877a63111121f5f8c7411e5be7eed4b" ++"checksum rand_core 0.4.2 (registry+https://github.com/rust-lang/crates.io-index)" = "9c33a3c44ca05fa6f1807d8e6743f3824e8509beca625669633be0acbdf509dc" ++"checksum rand_core 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)" = "90bde5296fc891b0cef12a6d03ddccc162ce7b2aff54160af9338f8d40df6d19" ++"checksum rand_hc 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "7b40677c7be09ae76218dc623efbf7b18e34bced3f38883af07bb75630a21bc4" ++"checksum rand_hc 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ca3129af7b92a17112d59ad498c6f81eaf463253766b90396d39ea7a39d6613c" ++"checksum rand_isaac 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "ded997c9d5f13925be2a6fd7e66bf1872597f759fd9dd93513dd7e92e5a5ee08" ++"checksum rand_jitter 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)" = "1166d5c91dc97b88d1decc3285bb0a99ed84b05cfd0bc2341bdf2d43fc41e39b" ++"checksum rand_os 0.1.3 (registry+https://github.com/rust-lang/crates.io-index)" = "7b75f676a1e053fc562eafbb47838d67c84801e38fc1ba459e8f180deabd5071" ++"checksum rand_pcg 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)" = "abf9b09b01790cfe0364f52bf32995ea3c39f4d2dd011eac241d2914146d0b44" ++"checksum rand_xorshift 0.1.1 (registry+https://github.com/rust-lang/crates.io-index)" = "cbf7e9e623549b0e21f6e97cf8ecf247c1a8fd2e8a992ae265314300b2455d5c" ++"checksum rayon 1.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "83a27732a533a1be0a0035a111fe76db89ad312f6f0347004c220c57f209a123" ++"checksum rayon-core 1.6.0 (registry+https://github.com/rust-lang/crates.io-index)" = "98dcf634205083b17d0861252431eb2acbfb698ab7478a2d20de07954f47ec7b" ++"checksum raytracer 0.1.0 (git+https://github.com/alexcrichton/raytracer?branch=update-deps)" = "<none>" ++"checksum rdrand 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "678054eb77286b51581ba43620cc911abf02758c91f93f479767aed0f90458b2" ++"checksum redox_syscall 0.1.56 (registry+https://github.com/rust-lang/crates.io-index)" = "2439c63f3f6139d1b57529d16bc3b8bb855230c8efcc5d3a896c8bea7c3b1e84" ++"checksum redox_users 0.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "4ecedbca3bf205f8d8f5c2b44d83cd0690e39ee84b951ed649e9f1841132b66d" ++"checksum regex 1.3.1 (registry+https://github.com/rust-lang/crates.io-index)" = "dc220bd33bdce8f093101afe22a037b8eb0e5af33592e6a9caafff0d4cb81cbd" ++"checksum regex-syntax 0.6.12 (registry+https://github.com/rust-lang/crates.io-index)" = "11a7e20d1cce64ef2fed88b66d347f88bd9babb82845b2b858f3edbf59a4f716" ++"checksum remove_dir_all 0.5.2 (registry+https://github.com/rust-lang/crates.io-index)" = "4a83fa3702a688b9359eccba92d153ac33fd2e8462f9e0e3fdf155239ea7792e" ++"checksum rouille 3.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "112568052ec17fa26c6c11c40acbb30d3ad244bf3d6da0be181f5e7e42e5004f" ++"checksum rust-argon2 0.5.1 (registry+https://github.com/rust-lang/crates.io-index)" = "4ca4eaef519b494d1f2848fc602d18816fed808a981aedf4f1f00ceb7c9d32cf" ++"checksum rustc-demangle 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)" = "4c691c0e608126e00913e33f0ccf3727d5fc84573623b8d65b2df340b5201783" ++"checksum rustc_version 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)" = "138e3e0acb6c9fb258b19b67cb8abd63c00679d2851805ea151465464fe9030a" ++"checksum ryu 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "c92464b447c0ee8c4fb3824ecc8383b81717b9f1e74ba2e72540aef7b9f82997" ++"checksum safemem 0.3.2 (registry+https://github.com/rust-lang/crates.io-index)" = "d2b08423011dae9a5ca23f07cf57dac3857f5c885d352b76f6d95f4aea9434d0" ++"checksum schannel 0.1.16 (registry+https://github.com/rust-lang/crates.io-index)" = "87f550b06b6cba9c8b8be3ee73f391990116bf527450d2556e9b9ce263b9a021" ++"checksum scoped-tls 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ea6a9290e3c9cf0f18145ef7ffa62d68ee0bf5fcd651017e586dc7fd5da448c2" ++"checksum scoped_threadpool 0.1.9 (registry+https://github.com/rust-lang/crates.io-index)" = "1d51f5df5af43ab3f1360b429fa5e0152ac5ce8c0bd6485cae490332e96846a8" ++"checksum scopeguard 1.0.0 (registry+https://github.com/rust-lang/crates.io-index)" = "b42e15e59b18a828bbf5c58ea01debb36b9b096346de35d941dcb89009f24a0d" ++"checksum semver 0.9.0 (registry+https://github.com/rust-lang/crates.io-index)" = "1d7eb9ef2c18661902cc47e535f9bc51b78acd254da71d375c2f6720d9a40403" ++"checksum semver-parser 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "388a1df253eca08550bef6c72392cfe7c30914bf41df5269b68cbd6ff8f570a3" ++"checksum serde 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)" = "9796c9b7ba2ffe7a9ce53c2287dfc48080f4b2b362fcc245a259b3a7201119dd" ++"checksum serde_derive 1.0.101 (registry+https://github.com/rust-lang/crates.io-index)" = "4b133a43a1ecd55d4086bd5b4dc6c1751c68b1bfbeba7a5040442022c7e7c02e" ++"checksum serde_json 1.0.40 (registry+https://github.com/rust-lang/crates.io-index)" = "051c49229f282f7c6f3813f8286cc1e3323e8051823fce42c7ea80fe13521704" ++"checksum sha1 0.6.0 (registry+https://github.com/rust-lang/crates.io-index)" = "2579985fda508104f7587689507983eadd6a6e84dd35d6d115361f530916fa0d" ++"checksum siphasher 0.2.3 (registry+https://github.com/rust-lang/crates.io-index)" = "0b8de496cf83d4ed58b6be86c3a275b8602f6ffe98d3024a869e124147a9a3ac" ++"checksum smallvec 0.6.10 (registry+https://github.com/rust-lang/crates.io-index)" = "ab606a9c5e214920bb66c458cd7be8ef094f813f20fe77a54cc7dbfff220d4b7" ++"checksum socket2 0.3.11 (registry+https://github.com/rust-lang/crates.io-index)" = "e8b74de517221a2cb01a53349cf54182acdc31a074727d3079068448c0676d85" ++"checksum sourcefile 0.1.4 (registry+https://github.com/rust-lang/crates.io-index)" = "4bf77cb82ba8453b42b6ae1d692e4cdc92f9a47beaf89a847c8be83f4e328ad3" ++"checksum strsim 0.9.2 (registry+https://github.com/rust-lang/crates.io-index)" = "032c03039aae92b350aad2e3779c352e104d919cb192ba2fabbd7b831ce4f0f6" ++"checksum syn 0.15.44 (registry+https://github.com/rust-lang/crates.io-index)" = "9ca4b3b69a77cbe1ffc9e198781b7acb0c7365a883670e8f1c1bc66fba79a5c5" ++"checksum syn 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)" = "66850e97125af79138385e9b88339cbcd037e3f28ceab8c5ad98e64f0f1f80bf" ++"checksum synstructure 0.10.2 (registry+https://github.com/rust-lang/crates.io-index)" = "02353edf96d6e4dc81aea2d8490a7e9db177bf8acb0e951c24940bf866cb313f" ++"checksum tempdir 0.3.7 (registry+https://github.com/rust-lang/crates.io-index)" = "15f2b5fb00ccdf689e0149d1b1b3c03fead81c2b37735d812fa8bddbbf41b6d8" ++"checksum tempfile 3.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "7a6e24d9338a0a5be79593e2fa15a648add6138caa803e2d5bc782c371732ca9" ++"checksum term 0.5.2 (registry+https://github.com/rust-lang/crates.io-index)" = "edd106a334b7657c10b7c540a0106114feadeb4dc314513e97df481d5d966f42" ++"checksum termcolor 1.0.5 (registry+https://github.com/rust-lang/crates.io-index)" = "96d6098003bde162e4277c70665bd87c326f5a0c3f3fbfb285787fa482d54e6e" ++"checksum thread_local 0.3.6 (registry+https://github.com/rust-lang/crates.io-index)" = "c6b53e329000edc2b34dbe8545fd20e55a333362d0a321909685a19bd28c3f1b" ++"checksum threadpool 1.7.1 (registry+https://github.com/rust-lang/crates.io-index)" = "e2f0c90a5f3459330ac8bc0d2f879c693bb7a2f59689c1083fc4ef83834da865" ++"checksum time 0.1.42 (registry+https://github.com/rust-lang/crates.io-index)" = "db8dcfca086c1143c9270ac42a2bbd8a7ee477b78ac8e45b19abfb0cbede4b6f" ++"checksum tiny_http 0.6.2 (registry+https://github.com/rust-lang/crates.io-index)" = "1661fa0a44c95d01604bd05c66732a446c657efb62b5164a7a083a3b552b4951" ++"checksum toml 0.4.10 (registry+https://github.com/rust-lang/crates.io-index)" = "758664fc71a3a69038656bee8b6be6477d2a6c315a6b81f7081f591bffa4111f" ++"checksum toml 0.5.3 (registry+https://github.com/rust-lang/crates.io-index)" = "c7aabe75941d914b72bf3e5d3932ed92ce0664d49d8432305a8b547c37227724" ++"checksum treeline 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "a7f741b240f1a48843f9b8e0444fb55fb2a4ff67293b50a9179dfd5ea67f8d41" ++"checksum trybuild 1.0.16 (registry+https://github.com/rust-lang/crates.io-index)" = "10d8f366221c5a5ff8a62faa005e186fdce758949d34a9140b64a062951bae68" ++"checksum twoway 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)" = "59b11b2b5241ba34be09c3cc85a36e56e48f9888862e19cedf23336d35316ed1" ++"checksum unicase 1.4.2 (registry+https://github.com/rust-lang/crates.io-index)" = "7f4765f83163b74f957c797ad9253caf97f103fb064d3999aea9568d09fc8a33" ++"checksum unicode-bidi 0.3.4 (registry+https://github.com/rust-lang/crates.io-index)" = "49f2bd0c6468a8230e1db229cff8029217cf623c767ea5d60bfbd42729ea54d5" ++"checksum unicode-normalization 0.1.8 (registry+https://github.com/rust-lang/crates.io-index)" = "141339a08b982d942be2ca06ff8b076563cbe223d1befd5450716790d44e2426" ++"checksum unicode-segmentation 1.3.0 (registry+https://github.com/rust-lang/crates.io-index)" = "1967f4cdfc355b37fd76d2a954fb2ed3871034eb4f26d60537d88795cfc332a9" ++"checksum unicode-xid 0.1.0 (registry+https://github.com/rust-lang/crates.io-index)" = "fc72304796d0818e357ead4e000d19c9c174ab23dc11093ac919054d20a6a7fc" ++"checksum unicode-xid 0.2.0 (registry+https://github.com/rust-lang/crates.io-index)" = "826e7639553986605ec5979c7dd957c7895e93eabed50ab2ffa7f6128a75097c" ++"checksum url 1.7.2 (registry+https://github.com/rust-lang/crates.io-index)" = "dd4e7c0d531266369519a4aa4f399d748bd37043b00bde1e4ff1f60a120b355a" ++"checksum vcpkg 0.2.7 (registry+https://github.com/rust-lang/crates.io-index)" = "33dd455d0f96e90a75803cfeb7f948768c08d70a6de9a8d2362461935698bf95" ++"checksum version_check 0.1.5 (registry+https://github.com/rust-lang/crates.io-index)" = "914b1a6776c4c929a602fafd8bc742e06365d4bcbe48c30f9cca5824f70dc9dd" ++"checksum walrus 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)" = "e6f3bd9559eb5b59d55cc60986e26dc9b3f64377d0b9495e41abd9ede9a6443f" ++"checksum walrus-macro 0.12.0 (registry+https://github.com/rust-lang/crates.io-index)" = "0464a6e0d86be4c95c8c838bcb1910df831e1216a9586feeb02478cd52c4e554" ++"checksum wasi 0.7.0 (registry+https://github.com/rust-lang/crates.io-index)" = "b89c3ce4ce14bdc6fb6beaf9ec7928ca331de5df7e5ea278375642a2f478570d" ++"checksum wasm-webidl-bindings 0.5.0 (registry+https://github.com/rust-lang/crates.io-index)" = "e407ee3155cb0742acacd0b21060daafe2ad78ea718f2d6b10e7d9d1032aa961" ++"checksum wasmparser 0.37.2 (registry+https://github.com/rust-lang/crates.io-index)" = "f7387ba67c13dd9cd01d7d961e733375aee889f828564e190da85b5602eb5eeb" ++"checksum weedle 0.10.0 (registry+https://github.com/rust-lang/crates.io-index)" = "3bb43f70885151e629e2a19ce9e50bd730fd436cfd4b666894c9ce4de9141164" ++"checksum winapi 0.3.8 (registry+https://github.com/rust-lang/crates.io-index)" = "8093091eeb260906a183e6ae1abdba2ef5ef2257a21801128899c3fc699229c6" ++"checksum winapi-i686-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6" ++"checksum winapi-util 0.1.2 (registry+https://github.com/rust-lang/crates.io-index)" = "7168bab6e1daee33b4557efd0e95d5ca70a03706d39fa5f3fe7a236f584b03c9" ++"checksum winapi-x86_64-pc-windows-gnu 0.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f" ++"checksum wincolor 1.0.2 (registry+https://github.com/rust-lang/crates.io-index)" = "96f5016b18804d24db43cebf3c77269e7569b8954a8464501c216cc5e070eaa9" +-- +2.23.0 + diff --git a/nixpkgs/pkgs/development/tools/wasm-bindgen-cli/default.nix b/nixpkgs/pkgs/development/tools/wasm-bindgen-cli/default.nix new file mode 100644 index 00000000000..2fd30ecc6b1 --- /dev/null +++ b/nixpkgs/pkgs/development/tools/wasm-bindgen-cli/default.nix @@ -0,0 +1,28 @@ +{ rustPlatform, fetchFromGitHub, lib, openssl, pkgconfig, stdenv, curl, Security, ... }: + +rustPlatform.buildRustPackage rec { + pname = "wasm-bindgen-cli"; + version = "0.2.51"; + + src = fetchFromGitHub { + owner = "rustwasm"; + repo = "wasm-bindgen"; + rev = version; + sha256 = "1pfkwak11k3ghvv985c20vhg4cyvf131a1f7k3sv5snw2klww7vm"; + }; + + buildInputs = [ openssl ] ++ lib.optionals stdenv.isDarwin [ Security curl ]; + nativeBuildInputs = [ pkgconfig ]; + + cargoSha256 = "06zk2yzwpl569q5mgn56gprsfxxvyz4a2i949lls13daq1kal5pa"; + cargoPatches = [ ./0001-Add-cargo.lock-for-rustPlatform.buildRustPackage-in-.patch ]; + cargoBuildFlags = [ "-p" pname ]; + + meta = with lib; { + homepage = https://rustwasm.github.io/docs/wasm-bindgen/; + license = licenses.asl20; + description = "Facilitating high-level interactions between wasm modules and JavaScript"; + maintainers = with maintainers; [ ma27 ]; + platforms = platforms.unix; + }; +} diff --git a/nixpkgs/pkgs/development/web/nodejs/disable-libatomic-darwin.patch b/nixpkgs/pkgs/development/web/nodejs/disable-libatomic-darwin.patch new file mode 100644 index 00000000000..d6ac38138b8 --- /dev/null +++ b/nixpkgs/pkgs/development/web/nodejs/disable-libatomic-darwin.patch @@ -0,0 +1,11 @@ +--- a/node.gyp ++++ b/node.gyp +@@ -289,7 +289,7 @@ + '-Wl,-bnoerrmsg', + ], + }], +- ['(OS=="linux" or OS=="mac") and llvm_version!=0', { ++ ['OS=="linux" and llvm_version!=0', { + 'libraries': ['-latomic'], + }], + ], diff --git a/nixpkgs/pkgs/development/web/nodejs/v12.nix b/nixpkgs/pkgs/development/web/nodejs/v12.nix index ae74764384c..a4e5edd77a4 100644 --- a/nixpkgs/pkgs/development/web/nodejs/v12.nix +++ b/nixpkgs/pkgs/development/web/nodejs/v12.nix @@ -1,10 +1,12 @@ -{ callPackage, openssl, icu, enableNpm ? true }: +{ stdenv, callPackage, openssl, icu, enableNpm ? true }: let buildNodejs = callPackage ./nodejs.nix { inherit openssl icu; }; in buildNodejs { inherit enableNpm; - version = "12.5.0"; - sha256 = "08haqs104lw44l92bxfii18sdn7y1k07cz3p0ni9bhw7kh4vf5c7"; + version = "12.12.0"; + sha256 = "1hcc9arbc6vpzjvf3sd6alm2rc18x3ay2p0i36wz2r4pp9d8gynr"; + + patches = stdenv.lib.optionals stdenv.isDarwin [ ./disable-libatomic-darwin.patch ]; } diff --git a/nixpkgs/pkgs/games/arx-libertatis/default.nix b/nixpkgs/pkgs/games/arx-libertatis/default.nix index c224784e8f2..d3f18d4c218 100644 --- a/nixpkgs/pkgs/games/arx-libertatis/default.nix +++ b/nixpkgs/pkgs/games/arx-libertatis/default.nix @@ -2,7 +2,8 @@ , openal, glm, freetype, libGLU, SDL2, epoxy , dejavu_fonts, inkscape, optipng, imagemagick , withCrashReporter ? !stdenv.isDarwin -, qt5 ? null +, qtbase ? null +, wrapQtAppsHook ? null , curl ? null , gdb ? null }: @@ -11,24 +12,23 @@ with stdenv.lib; stdenv.mkDerivation { pname = "arx-libertatis"; - version = "2019-02-16"; + version = "2019-07-22"; src = fetchFromGitHub { - owner = "arx"; - repo = "ArxLibertatis"; - rev = "fbce6ccbc7f58583f33f29b838c38ef527edc267"; - sha256 = "0qrygp09dqhpb5q6a1zl6l03qh9bi7xcahd8hy9177z1cix3k0kz"; + owner = "arx"; + repo = "ArxLibertatis"; + rev = "db77aa26bb8612f711b65e72b1cd8cf6481700c7"; + sha256 = "0c88djyzjna17wjcvkgsfx3011m1rba5xdzdldy1hjmafpqgb4jj"; }; - nativeBuildInputs = [ cmake inkscape imagemagick optipng - ]; + ] ++ optionals withCrashReporter [ wrapQtAppsHook ]; buildInputs = [ zlib boost openal glm freetype libGLU SDL2 epoxy - ] ++ optionals withCrashReporter [ qt5.qtbase curl ] + ] ++ optionals withCrashReporter [ qtbase curl ] ++ optionals stdenv.isLinux [ gdb ]; cmakeFlags = [ @@ -38,11 +38,14 @@ stdenv.mkDerivation { ]; enableParallelBuilding = true; + dontWrapQtApps = true; postInstall = '' ln -sf \ ${dejavu_fonts}/share/fonts/truetype/DejaVuSansMono.ttf \ $out/share/games/arx/misc/dejavusansmono.ttf + '' + optionalString withCrashReporter '' + wrapQtApp "$out/libexec/arxcrashreporter" ''; meta = { diff --git a/nixpkgs/pkgs/games/gscrabble/default.nix b/nixpkgs/pkgs/games/gscrabble/default.nix index f9dd851af8e..7e89704324f 100644 --- a/nixpkgs/pkgs/games/gscrabble/default.nix +++ b/nixpkgs/pkgs/games/gscrabble/default.nix @@ -1,6 +1,6 @@ { stdenv, buildPythonApplication, fetchFromGitHub , gtk3, wrapGAppsHook, gst_all_1, gobject-introspection -, python3Packages, gnome3, hicolor-icon-theme }: +, python3Packages, gnome3 }: buildPythonApplication { pname = "gscrabble"; @@ -19,7 +19,7 @@ buildPythonApplication { buildInputs = with gst_all_1; [ gst-plugins-base gst-plugins-good gst-plugins-ugly gst-plugins-bad - hicolor-icon-theme gnome3.adwaita-icon-theme gtk3 gobject-introspection + gnome3.adwaita-icon-theme gtk3 gobject-introspection ]; propagatedBuildInputs = with python3Packages; [ gst-python pygobject3 ]; diff --git a/nixpkgs/pkgs/games/odamex/default.nix b/nixpkgs/pkgs/games/odamex/default.nix index 6c6bb9f78ba..2afb259ea43 100644 --- a/nixpkgs/pkgs/games/odamex/default.nix +++ b/nixpkgs/pkgs/games/odamex/default.nix @@ -1,23 +1,24 @@ -{ stdenv, cmake, fetchurl, pkgconfig, SDL, SDL_mixer, SDL_net }: +{ stdenv, cmake, fetchurl, pkgconfig, SDL, SDL_mixer, SDL_net, wxGTK30 }: + +stdenv.mkDerivation rec { + pname = "odamex"; + version = "0.8.1"; -stdenv.mkDerivation { - name = "odamex-0.7.0"; src = fetchurl { - url = mirror://sourceforge/odamex/odamex-src-0.7.0.tar.bz2; - sha256 = "0cb6p58yv55kdyfj7s9n9xcwpvxrj8nyc6brw9jvwlc5n4y3cd5a"; + url = "mirror://sourceforge/${pname}/${pname}-src-${version}.tar.bz2"; + sha256 = "1dz0lqdx3vb62mylqddcdq3vxsl2mvv0w2xskvwgpg0p04fcic2c"; }; - nativeBuildInputs = [ pkgconfig ]; - buildInputs = [ cmake SDL SDL_mixer SDL_net ]; + nativeBuildInputs = [ cmake pkgconfig ]; + buildInputs = [ SDL SDL_mixer SDL_net wxGTK30 ]; enableParallelBuilding = true; meta = { - homepage = http://odamex.net/; + homepage = "http://odamex.net/"; description = "A client/server port for playing old-school Doom online"; license = stdenv.lib.licenses.gpl2; - platforms = stdenv.lib.platforms.unix; + platforms = stdenv.lib.platforms.linux; maintainers = with stdenv.lib.maintainers; [ MP2E ]; - broken = true; }; } diff --git a/nixpkgs/pkgs/games/openxray/default.nix b/nixpkgs/pkgs/games/openxray/default.nix new file mode 100644 index 00000000000..d8ff0dd8d9e --- /dev/null +++ b/nixpkgs/pkgs/games/openxray/default.nix @@ -0,0 +1,52 @@ +{ stdenv, fetchFromGitHub, cmake, glew, freeimage, liblockfile +, openal, cryptopp, libtheora, SDL2, lzo, libjpeg, libogg, tbb +, pcre, makeWrapper }: + +stdenv.mkDerivation rec { + pname = "OpenXRay"; + version = "510"; + + src = fetchFromGitHub { + owner = "OpenXRay"; + repo = "xray-16"; + rev = version; + sha256 = "0q142l6xvgnd6ycncqld69izxclynqrs73aq89pfy1r1nzhd60ay"; + fetchSubmodules = true; + }; + + hardeningDisable = [ "format" ]; + cmakeFlags = [ "-DCMAKE_INCLUDE_PATH=${cryptopp}/include/cryptopp" ]; + installFlags = [ "DESTDIR=${placeholder "out"}" ]; + + buildInputs = [ + glew freeimage liblockfile openal cryptopp libtheora SDL2 lzo + libjpeg libogg tbb pcre + ]; + nativeBuildInputs = [ cmake makeWrapper ]; + + preConfigure = '' + substituteInPlace src/xrCore/xrCore.cpp \ + --replace /usr/share $out/share + ''; + + postInstall = '' + mv $out/var/empty/* $out + install -Dm755 $out/games/xr_3da $out/bin/xr_3da + install -Dm644 $src/License.txt $out/share/licenses/openxray/License.txt + rm -r $out/var $out/games + + # needed because of SDL_LoadObject library loading code + wrapProgram $out/bin/xr_3da \ + --prefix LD_LIBRARY_PATH : $out/lib + ''; + + meta = with stdenv.lib; { + description = "X-Ray Engine 1.6 expansion. Original version was used in S.T.A.L.K.E.R.: Call of Pripyat"; + homepage = src.meta.homepage; + license = licenses.unfree // { + url = https://github.com/OpenXRay/xray-16/blob/xd_dev/License.txt; + }; + maintainers = [ maintainers.gnidorah ]; + platforms = ["x86_64-linux" "i686-linux" ]; + }; +} diff --git a/nixpkgs/pkgs/games/scummvm/default.nix b/nixpkgs/pkgs/games/scummvm/default.nix index cb8223daa2b..8c4ce46f6f5 100644 --- a/nixpkgs/pkgs/games/scummvm/default.nix +++ b/nixpkgs/pkgs/games/scummvm/default.nix @@ -1,22 +1,24 @@ { stdenv, fetchurl, nasm -, alsaLib, flac, fluidsynth, freetype, libjpeg, libmad, libmpeg2, libogg, libvorbis, libGLU_combined, SDL2, zlib +, alsaLib, curl, flac, fluidsynth, freetype, libjpeg, libmad, libmpeg2, libogg, libvorbis, libGLU_combined, SDL2, zlib }: stdenv.mkDerivation rec { pname = "scummvm"; - version = "2.0.0"; + version = "2.1.0"; src = fetchurl { url = "http://scummvm.org/frs/scummvm/${version}/${pname}-${version}.tar.xz"; - sha256 = "0q6aiw97wsrf8cjw9vjilzhqqsr2rw2lll99s8i5i9svan6l314p"; + sha256 = "6b50c6596a1536b52865f556dc05ded20f86b6ffabe4bccbd746b5587b15f727"; }; nativeBuildInputs = [ nasm ]; buildInputs = [ - alsaLib freetype flac fluidsynth libjpeg libmad libmpeg2 libogg libvorbis libGLU_combined SDL2 zlib + alsaLib curl freetype flac fluidsynth libjpeg libmad libmpeg2 libogg libvorbis libGLU_combined SDL2 zlib ]; + dontDisableStatic = true; + enableParallelBuilding = true; configurePlatforms = [ "host" ]; diff --git a/nixpkgs/pkgs/games/steam/chrootenv.nix b/nixpkgs/pkgs/games/steam/chrootenv.nix index 5c73e458c1a..b2bca7ca7b9 100644 --- a/nixpkgs/pkgs/games/steam/chrootenv.nix +++ b/nixpkgs/pkgs/games/steam/chrootenv.nix @@ -77,6 +77,7 @@ in buildFHSUserEnv rec { libGL # Not formally in runtime but needed by some games + at-spi2-atk gst_all_1.gstreamer gst_all_1.gst-plugins-ugly libdrm diff --git a/nixpkgs/pkgs/misc/cups/cups-pk-helper.nix b/nixpkgs/pkgs/misc/cups/cups-pk-helper.nix index 5e7596032b3..6534d300e82 100644 --- a/nixpkgs/pkgs/misc/cups/cups-pk-helper.nix +++ b/nixpkgs/pkgs/misc/cups/cups-pk-helper.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchurl, intltool, pkgconfig, glib, polkit, cups }: +{ stdenv, fetchurl, intltool, pkgconfig, glib, polkit, cups, fetchpatch }: stdenv.mkDerivation rec { version = "0.2.6"; @@ -12,6 +12,14 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ pkgconfig intltool ]; buildInputs = [ glib polkit cups ]; + patches = [ + # Don't use etc/dbus-1/system.d + (fetchpatch { + url = "https://gitlab.freedesktop.org/cups-pk-helper/cups-pk-helper/merge_requests/2.patch"; + sha256 = "1kamhr5kn8c1y0q8xbip0fgr7maf3dyddlvab4n0iypk7rwwikl0"; + }) + ]; + meta = with stdenv.lib; { description = "PolicyKit helper to configure cups with fine-grained privileges"; homepage = http://www.freedesktop.org/wiki/Software/cups-pk-helper/; diff --git a/nixpkgs/pkgs/misc/cups/default.nix b/nixpkgs/pkgs/misc/cups/default.nix index 6e27fdb0a52..c7df3f333b6 100644 --- a/nixpkgs/pkgs/misc/cups/default.nix +++ b/nixpkgs/pkgs/misc/cups/default.nix @@ -48,6 +48,7 @@ stdenv.mkDerivation rec { ] ++ optionals stdenv.isLinux [ "--enable-dbus" "--enable-pam" + "--with-dbusdir=${placeholder "out"}/share/dbus-1" ] ++ optional (libusb != null) "--enable-libusb" ++ optional (gnutls != null) "--enable-ssl" ++ optional (avahi != null) "--enable-avahi" @@ -81,7 +82,6 @@ stdenv.mkDerivation rec { "STATEDIR=$(TMPDIR)/dummy" # Idem for /etc. "PAMDIR=$(out)/etc/pam.d" - "DBUSDIR=$(out)/etc/dbus-1" "XINETD=$(out)/etc/xinetd.d" "SERVERROOT=$(out)/etc/cups" # Idem for /usr. diff --git a/nixpkgs/pkgs/misc/drivers/epkowa/default.nix b/nixpkgs/pkgs/misc/drivers/epkowa/default.nix index 5c9db63a63d..29586f3fb55 100644 --- a/nixpkgs/pkgs/misc/drivers/epkowa/default.nix +++ b/nixpkgs/pkgs/misc/drivers/epkowa/default.nix @@ -30,7 +30,15 @@ let plugins = { version = "2.30.4"; src = fetchurl { - url = "https://download2.ebz.epson.net/iscan/plugin/perfection-v330/rpm/x64/iscan-perfection-v330-bundle-${version}.x64.rpm.tar.gz"; + # To find new versions, visit + # http://download.ebz.epson.net/dsc/search/01/search/?OSC=LX and search for + # some printer like for instance "WF-7210" to get to the most recent + # version. + # NOTE: Don't forget to update the webarchive link too! + urls = [ + "https://download2.ebz.epson.net/iscan/plugin/perfection-v330/rpm/x64/iscan-perfection-v330-bundle-${version}.x64.rpm.tar.gz" + "https://web.archive.org/web/https://download2.ebz.epson.net/iscan/plugin/perfection-v330/rpm/x64/iscan-perfection-v330-bundle-${version}.x64.rpm.tar.gz" + ]; sha256 = "16iq5gmfcgkvcx5hixggxgb8lwin5gjdhnq0zabgpfqg11n2w21q"; }; @@ -57,7 +65,10 @@ let plugins = { nativeBuildInputs = [ autoPatchelfHook rpm ]; src = fetchurl { - url = "https://download2.ebz.epson.net/iscan/plugin/gt-x770/rpm/x64/iscan-gt-x770-bundle-${version}.x64.rpm.tar.gz"; + urls = [ + "https://download2.ebz.epson.net/iscan/plugin/gt-x770/rpm/x64/iscan-gt-x770-bundle-${version}.x64.rpm.tar.gz" + "https://web.archive.org/web/https://download2.ebz.epson.net/iscan/plugin/gt-x770/rpm/x64/iscan-gt-x770-bundle-${version}.x64.rpm.tar.gz" + ]; sha256 = "1cz4z3wz216s77z185m665jcgdslil5gn4dsi118nv1fm17z3jik"; }; installPhase = '' @@ -84,7 +95,10 @@ let plugins = { nativeBuildInputs= [ autoPatchelfHook ]; buildInputs = [ gcc.cc.lib ]; src = fetchurl { - url = "https://download2.ebz.epson.net/iscan/plugin/gt-f720/rpm/x64/iscan-gt-f720-bundle-${version}.x64.rpm.tar.gz"; + urls = [ + "https://download2.ebz.epson.net/iscan/plugin/gt-f720/rpm/x64/iscan-gt-f720-bundle-${version}.x64.rpm.tar.gz" + "https://web.archive.org/web/https://download2.ebz.epson.net/iscan/plugin/gt-f720/rpm/x64/iscan-gt-f720-bundle-${version}.x64.rpm.tar.gz" + ]; sha256 = "12rivh00n9mhagy5yjl1m0bv7ypbig6brqkxm0a12xy0mjq7yv8y"; }; installPhase = '' @@ -111,7 +125,10 @@ let plugins = { nativeBuildInputs = [ autoPatchelfHook ]; buildInputs = [ gcc.cc.lib libtool ]; src = fetchurl { - url = "https://download2.ebz.epson.net/iscan/plugin/gt-s80/rpm/x64/iscan-gt-s80-bundle-${version}.x64.rpm.tar.gz"; + urls = [ + "https://download2.ebz.epson.net/iscan/plugin/gt-s80/rpm/x64/iscan-gt-s80-bundle-${version}.x64.rpm.tar.gz" + "https://web.archive.org/web/https://download2.ebz.epson.net/iscan/plugin/gt-s80/rpm/x64/iscan-gt-s80-bundle-${version}.x64.rpm.tar.gz" + ]; sha256 = "1ran75zsxcdci00jakngkz6p9lj4q483hjapmf80p68rzhpmdr5y"; }; installPhase = '' @@ -145,7 +162,10 @@ let plugins = { nativeBuildInputs = [ autoPatchelfHook ]; src = fetchurl { - url = "https://download2.ebz.epson.net/iscan/general/rpm/x64/iscan-bundle-${version}.x64.rpm.tar.gz"; + urls = [ + "https://download2.ebz.epson.net/iscan/general/rpm/x64/iscan-bundle-${version}.x64.rpm.tar.gz" + "https://web.archive.org/web/https://download2.ebz.epson.net/iscan/general/rpm/x64/iscan-bundle-${version}.x64.rpm.tar.gz" + ]; sha256 = "1l0y4dy88y91jdq66pxrxqmiwsxwy0rd7x4bh0cw08r4iyhjqprz"; }; installPhase = '' @@ -179,7 +199,10 @@ let iscan-data = stdenv.mkDerivation rec { version = "1.39.1-2"; src = fetchurl { - url = "http://support.epson.net/linux/src/scanner/iscan/iscan-data_${version}.tar.gz"; + urls = [ + "http://support.epson.net/linux/src/scanner/iscan/iscan-data_${version}.tar.gz" + "https://web.archive.org/web/http://support.epson.net/linux/src/scanner/iscan/iscan-data_${version}.tar.gz" + ]; sha256 = "04zrvbnxf1k6zinrd13hwnbzscc3qhmwlvx3k2jhjys2lginw7w4"; }; @@ -195,7 +218,10 @@ stdenv.mkDerivation rec { version = "2.30.4-2"; src = fetchurl { - url = "http://support.epson.net/linux/src/scanner/iscan/iscan_${version}.tar.gz"; + urls = [ + "http://support.epson.net/linux/src/scanner/iscan/iscan_${version}.tar.gz" + "https://web.archive.org/web/http://support.epson.net/linux/src/scanner/iscan/iscan_${version}.tar.gz" + ]; sha256 = "1ma76jj0k3bz0fy06fiyl4di4y77rcryb0mwjmzs5ms2vq9rjysr"; }; @@ -211,7 +237,10 @@ stdenv.mkDerivation rec { patches = [ (fetchpatch { - url = "https://gitweb.gentoo.org/repo/gentoo.git/plain/media-gfx/iscan/files/iscan-2.28.1.3+libpng-1.5.patch?h=b6e4c805d53b49da79a0f64ef16bb82d6d800fcf"; + urls = [ + "https://gitweb.gentoo.org/repo/gentoo.git/plain/media-gfx/iscan/files/iscan-2.28.1.3+libpng-1.5.patch?h=b6e4c805d53b49da79a0f64ef16bb82d6d800fcf" + "https://web.archive.org/web/https://gitweb.gentoo.org/repo/gentoo.git/plain/media-gfx/iscan/files/iscan-2.28.1.3+libpng-1.5.patch?h=b6e4c805d53b49da79a0f64ef16bb82d6d800fcf" + ]; sha256 = "04y70qjd220dpyh771fiq50lha16pms98mfigwjczdfmx6kpj1jd"; }) ./firmware_location.patch diff --git a/nixpkgs/pkgs/misc/drivers/epson-201106w/default.nix b/nixpkgs/pkgs/misc/drivers/epson-201106w/default.nix index 0fa637e040e..448bac92ae8 100644 --- a/nixpkgs/pkgs/misc/drivers/epson-201106w/default.nix +++ b/nixpkgs/pkgs/misc/drivers/epson-201106w/default.nix @@ -10,7 +10,12 @@ in inherit version; src = fetchurl { - url = "https://download.ebz.epson.net/dsc/op/stable/SRPMS/epson-inkjet-printer-201106w-${version}-1lsb3.2.src.rpm"; + # NOTE: Don't forget to update the webarchive link too! + urls = [ + "https://download.ebz.epson.net/dsc/op/stable/SRPMS/epson-inkjet-printer-201106w-${version}-1lsb3.2.src.rpm" + "https://web.archive.org/web/https://download.ebz.epson.net/dsc/op/stable/SRPMS/epson-inkjet-printer-201106w-${version}-1lsb3.2.src.rpm" + ]; + sha256 = "1yig1xrh1ikblbp7sx706n5nnc237wy4mbch23ymy6akbgqg4aig"; }; diff --git a/nixpkgs/pkgs/misc/drivers/epson-escpr/cups-filter-ppd-dirs.patch b/nixpkgs/pkgs/misc/drivers/epson-escpr/cups-filter-ppd-dirs.patch index fb546b6a25b..b9f198b14b7 100644 --- a/nixpkgs/pkgs/misc/drivers/epson-escpr/cups-filter-ppd-dirs.patch +++ b/nixpkgs/pkgs/misc/drivers/epson-escpr/cups-filter-ppd-dirs.patch @@ -1,8 +1,8 @@ diff --git a/configure b/configure_new -index 699bcb5..89a1832 100755 +index 12b4662..6ec641c 100755 --- a/configure +++ b/configure_new -@@ -11585,55 +11585,8 @@ else +@@ -12162,55 +12162,8 @@ else $as_echo "no" >&6; } fi @@ -44,7 +44,7 @@ index 699bcb5..89a1832 100755 - if test -d "${cups_default_prefix}/share/ppd" ; then - CUPS_PPD_DIR="${cups_default_prefix}/share/ppd" - elif test "xyes" = "x$have_cups_config" ; then -- CUPS_PPD_DIR="${cups_default_prefix}/`cups-config --datadir | sed -e 's,^/[^/][^/]*,,'`/model" +- CUPS_PPD_DIR="${cups_default_prefix}`cups-config --datadir | sed -e 's,^/[^/][^/]*,,'`/model" - else - CUPS_PPD_DIR="${cups_default_prefix}/share/cups/model" - fi @@ -58,5 +58,5 @@ index 699bcb5..89a1832 100755 +CUPS_FILTER_DIR="${prefix}/lib/cups/filter" +CUPS_PPD_DIR="${prefix}/share/cups/model" - { $as_echo "$as_me:${as_lineno-$LINENO}: checking for ANSI C header files" >&5 - $as_echo_n "checking for ANSI C header files... " >&6; } + # Check whether --enable-lsb was given. + if test "${enable_lsb+set}" = set; then : diff --git a/nixpkgs/pkgs/misc/drivers/epson-escpr/default.nix b/nixpkgs/pkgs/misc/drivers/epson-escpr/default.nix index 3d6fb8f081a..d90ef2c91f6 100644 --- a/nixpkgs/pkgs/misc/drivers/epson-escpr/default.nix +++ b/nixpkgs/pkgs/misc/drivers/epson-escpr/default.nix @@ -2,11 +2,19 @@ stdenv.mkDerivation { pname = "epson-escpr"; - version = "1.6.16"; + version = "1.7.3"; src = fetchurl { - url = "https://download3.ebz.epson.net/dsc/f/03/00/06/41/54/29588ed107f800e5bc3f91706661567efb369c1c/epson-inkjet-printer-escpr-1.6.16-1lsb3.2.tar.gz"; - sha256 = "0v9mcih3dg3ws18hdcgm014k97hv6imga39hy2a84gnc6badp6n6"; + # To find new versions, visit + # http://download.ebz.epson.net/dsc/search/01/search/?OSC=LX and search for + # some printer like for instance "WF-7110" to get to the most recent + # version. + # NOTE: Don't forget to update the webarchive link too! + urls = [ + "https://download3.ebz.epson.net/dsc/f/03/00/09/83/26/f90d0f70b33a9d7d77a2408364c47fba1ccbf943/epson-inkjet-printer-escpr-1.7.3-1lsb3.2.tar.gz" + "https://web.archive.org/web/https://download3.ebz.epson.net/dsc/f/03/00/09/83/26/f90d0f70b33a9d7d77a2408364c47fba1ccbf943/epson-inkjet-printer-escpr-1.7.3-1lsb3.2.tar.gz" + ]; + sha256 = "0r3jkdfk33irha9gpyvhha056ans59p7dq9i153i292ifjsd8458"; }; patches = [ ./cups-filter-ppd-dirs.patch ]; diff --git a/nixpkgs/pkgs/misc/drivers/epson-escpr2/default.nix b/nixpkgs/pkgs/misc/drivers/epson-escpr2/default.nix index 96399b9cd97..4edc08a3571 100644 --- a/nixpkgs/pkgs/misc/drivers/epson-escpr2/default.nix +++ b/nixpkgs/pkgs/misc/drivers/epson-escpr2/default.nix @@ -5,10 +5,15 @@ stdenv.mkDerivation rec { version = "1.1.1"; src = fetchurl { - # To find new versions, visit http://download.ebz.epson.net/dsc/search/01/search/?OSC=LX - # and search for some printer like for instance "WF-7210" to get to the most recent version. - # NOTE: keep in mind that many parts of the URL change and not just version. - url = "https://download3.ebz.epson.net/dsc/f/03/00/09/72/04/c6d928e83e558c4ba1e7e8bcb5c1fe080b8095eb/${pname}-${version}-1lsb3.2.src.rpm"; + # To find new versions, visit + # http://download.ebz.epson.net/dsc/search/01/search/?OSC=LX and search for + # some printer like for instance "WF-7210" to get to the most recent + # version. + # NOTE: Don't forget to update the webarchive link too! + urls = [ + "https://download3.ebz.epson.net/dsc/f/03/00/09/72/04/c6d928e83e558c4ba1e7e8bcb5c1fe080b8095eb/epson-inkjet-printer-escpr2-1.1.1-1lsb3.2.src.rpm" + "https://web.archive.org/web/https://download3.ebz.epson.net/dsc/f/03/00/09/72/04/c6d928e83e558c4ba1e7e8bcb5c1fe080b8095eb/epson-inkjet-printer-escpr2-1.1.1-1lsb3.2.src.rpm" + ]; sha256 = "02vdlhvinsx6vsjq172b2c1vrfzkg0w9j5lbsnjvj6yq3yqz5b5q"; }; diff --git a/nixpkgs/pkgs/misc/drivers/epson-workforce-635-nx625-series/default.nix b/nixpkgs/pkgs/misc/drivers/epson-workforce-635-nx625-series/default.nix index 15a7ba3cd3c..0cc5537180b 100644 --- a/nixpkgs/pkgs/misc/drivers/epson-workforce-635-nx625-series/default.nix +++ b/nixpkgs/pkgs/misc/drivers/epson-workforce-635-nx625-series/default.nix @@ -13,7 +13,11 @@ in stdenv.mkDerivation rec { version = "1.0.1"; src = fetchurl { - url = "https://download.ebz.epson.net/dsc/op/stable/SRPMS/${name}-${version}-1lsb3.2.src.rpm"; + # NOTE: Don't forget to update the webarchive link too! + urls = [ + "https://download.ebz.epson.net/dsc/op/stable/SRPMS/${name}-${version}-1lsb3.2.src.rpm" + "https://web.archive.org/web/https://download.ebz.epson.net/dsc/op/stable/SRPMS/${name}-${version}-1lsb3.2.src.rpm" + ]; sha256 = "19nb2h0y9rvv6rg7j262f8sqap9kjvz8kmisxnjg1w0v19zb9zf2"; }; sourceRoot = srcdirs.filter; diff --git a/nixpkgs/pkgs/misc/drivers/epson_201207w/default.nix b/nixpkgs/pkgs/misc/drivers/epson_201207w/default.nix index 9da1a8269ab..fc854c00796 100644 --- a/nixpkgs/pkgs/misc/drivers/epson_201207w/default.nix +++ b/nixpkgs/pkgs/misc/drivers/epson_201207w/default.nix @@ -9,7 +9,11 @@ in inherit version; src = fetchurl { - url = "https://download.ebz.epson.net/dsc/op/stable/SRPMS/epson-inkjet-printer-201207w-${version}-1lsb3.2.src.rpm"; + # NOTE: Don't forget to update the webarchive link too! + urls = [ + "https://download.ebz.epson.net/dsc/op/stable/SRPMS/epson-inkjet-printer-201207w-${version}-1lsb3.2.src.rpm" + "https://web.archive.org/web/https://download.ebz.epson.net/dsc/op/stable/SRPMS/epson-inkjet-printer-201207w-${version}-1lsb3.2.src.rpm" + ]; sha256 = "1ixnhn2dk83nh9v8sdivzgc2bm9z2phvsbx8bc6ainbjq6vn7lns"; }; diff --git a/nixpkgs/pkgs/misc/emulators/fs-uae/default.nix b/nixpkgs/pkgs/misc/emulators/fs-uae/default.nix index 7e1272faf11..95a95bb17b1 100644 --- a/nixpkgs/pkgs/misc/emulators/fs-uae/default.nix +++ b/nixpkgs/pkgs/misc/emulators/fs-uae/default.nix @@ -5,11 +5,11 @@ with stdenv.lib; stdenv.mkDerivation rec { pname = "fs-uae"; - version = "2.8.4"; + version = "3.0.0"; src = fetchurl { - url = "https://fs-uae.net/fs-uae/stable/${version}/${pname}-${version}.tar.gz"; - sha256 = "19ccb3gbpjwwazqc9pyin3jicjl27m2gyvy5bb5zysq0mxpzassj"; + url = "https://fs-uae.net/stable/${version}/${pname}-${version}.tar.gz"; + sha256 = "0r69i6rh472lj4qwqfcfh17xmlw4x6mhqzr9hhpkbb4byyw6h592"; }; nativeBuildInputs = [ pkgconfig ]; diff --git a/nixpkgs/pkgs/misc/uboot/default.nix b/nixpkgs/pkgs/misc/uboot/default.nix index 6f1c8af390c..ffe576c31d8 100644 --- a/nixpkgs/pkgs/misc/uboot/default.nix +++ b/nixpkgs/pkgs/misc/uboot/default.nix @@ -4,7 +4,7 @@ }: let - buildUBoot = { version ? "2019.04" + buildUBoot = { version ? "2019.10" , filesToInstall , installDir ? "$out" , defconfig @@ -20,7 +20,7 @@ let src = fetchurl { url = "ftp://ftp.denx.de/pub/u-boot/u-boot-${version}.tar.bz2"; - sha256 = "1vwv4bgbl7fjcm073zrphn17hnz5h5h778f88ivdsgbb2lnpgdvn"; + sha256 = "053hcrwwlacqh2niisn0zas95zkbffw5aw5sdhixs8lmfdq60vcd"; }; patches = [ @@ -82,7 +82,7 @@ let homepage = http://www.denx.de/wiki/U-Boot/; description = "Boot loader for embedded systems"; license = licenses.gpl2; - maintainers = [ maintainers.dezgeg ]; + maintainers = with maintainers; [ dezgeg samueldr ]; } // extraMeta; } // removeAttrs args [ "extraMeta" ]); diff --git a/nixpkgs/pkgs/misc/vim-plugins/generated.nix b/nixpkgs/pkgs/misc/vim-plugins/generated.nix index a6b8c6dadc1..1ecdba507bf 100644 --- a/nixpkgs/pkgs/misc/vim-plugins/generated.nix +++ b/nixpkgs/pkgs/misc/vim-plugins/generated.nix @@ -270,12 +270,12 @@ let coc-denite = buildVimPluginFrom2Nix { pname = "coc-denite"; - version = "2019-10-09"; + version = "2019-10-13"; src = fetchFromGitHub { owner = "neoclide"; repo = "coc-denite"; - rev = "7da99cf5eb5cbf9f7eb6c6b8450764afbb9c20f1"; - sha256 = "1qj4xr9vy2s3hr5hqjfdq46x5lszqsp4z1dggfk6gpzwln4k5ql0"; + rev = "803f8a2388fcf90881c13ff17da4eec01184401d"; + sha256 = "1gxj2jdii6icgdmkhhsxshi02xbsad0697cixklf3wsqlxrj3px5"; }; }; @@ -479,12 +479,12 @@ let coc-snippets = buildVimPluginFrom2Nix { pname = "coc-snippets"; - version = "2019-09-08"; + version = "2019-10-14"; src = fetchFromGitHub { owner = "neoclide"; repo = "coc-snippets"; - rev = "9a8da3f5548e1f894fdd3b9600e17007f7ad9b26"; - sha256 = "14vk80bg0cgs14n3kfpg6rkgxvvrnyz4s4wms0iqi9hq622i0znz"; + rev = "b462798fa91b7335b7bc2dbdfdd4192380424b10"; + sha256 = "0131y8mdbrg7mxn0b5gwpmbhyr1869v98ywsglrs0c8cnlwx5iwv"; }; }; @@ -989,12 +989,12 @@ let echodoc-vim = buildVimPluginFrom2Nix { pname = "echodoc-vim"; - version = "2019-06-12"; + version = "2019-10-13"; src = fetchFromGitHub { owner = "Shougo"; repo = "echodoc.vim"; - rev = "c12d2e683fb749f9c0d4a099d894baa3d9d5f330"; - sha256 = "1w8awylby9qasy0z6x4l5k9gw5sqqmsmpcvckj9ax1sp34psdzhx"; + rev = "dcbeba8ce075e3b6afc0422bbb339ef953582347"; + sha256 = "1y07a42a976z5cvkkjg6sir0vi7xdmk97bzyc2qvpx16gvqby6a2"; }; }; @@ -1179,12 +1179,12 @@ let ghcid = buildVimPluginFrom2Nix { pname = "ghcid"; - version = "2019-10-04"; + version = "2019-10-13"; src = fetchFromGitHub { owner = "ndmitchell"; repo = "ghcid"; - rev = "8b7ee2a596aa33dec51246a4670eb20e337caaa2"; - sha256 = "19magq7d0yi2jklm9zn7da2xk1lm21hlcscl1x3i0ma6all5y06s"; + rev = "3318e1f29fde78bd75b2863d6cae6e1035f814de"; + sha256 = "0nbmg9m6ydma7hx8kb2dgswv1ksy68iqcpsgj81782g0ksimdf8b"; }; }; @@ -1508,6 +1508,17 @@ let }; }; + lexima-vim = buildVimPluginFrom2Nix { + pname = "lexima-vim"; + version = "2019-07-22"; + src = fetchFromGitHub { + owner = "cohama"; + repo = "lexima.vim"; + rev = "aa32e972b69230625989daa09ee5fd74a2d877ef"; + sha256 = "15cc09vb0yrasz76qkcqxhj95y1m6yrsx3wsn6khx39kp1bas0jd"; + }; + }; + lh-brackets = buildVimPluginFrom2Nix { pname = "lh-brackets"; version = "2019-05-23"; @@ -1763,12 +1774,12 @@ let neomake = buildVimPluginFrom2Nix { pname = "neomake"; - version = "2019-10-09"; + version = "2019-10-14"; src = fetchFromGitHub { owner = "neomake"; repo = "neomake"; - rev = "eefad2c87845b141a269e0ed64c23eeb64319506"; - sha256 = "0b74ga7wvd3fs2hrdjjal3ihacl81fyld01jn8chm255px1kajkv"; + rev = "0e03a4497ef01fd17b34232830285cb506701abd"; + sha256 = "1l9s9zhmdhf0s5ps2f9y2b5fgl8kvkfs69v97rgrd51h9m4mk0jb"; }; }; @@ -1796,12 +1807,12 @@ let neosnippet-vim = buildVimPluginFrom2Nix { pname = "neosnippet-vim"; - version = "2019-09-14"; + version = "2019-10-13"; src = fetchFromGitHub { owner = "Shougo"; repo = "neosnippet.vim"; - rev = "3838f45930e8d6c05807c925350585c48bd21a4b"; - sha256 = "1m6skrdjr6d1waxarxch2hn7416q9r13yan1bd7qx2w5gika606a"; + rev = "037b7a76f28d51c126de43fb88f01b592193c835"; + sha256 = "0n0i4iax3argmmzcwm22yrs9av71nqydza15c9ynlwkdd5gi2xvp"; }; }; @@ -1873,12 +1884,12 @@ let nerdtree = buildVimPluginFrom2Nix { pname = "nerdtree"; - version = "2019-10-11"; + version = "2019-10-14"; src = fetchFromGitHub { owner = "scrooloose"; repo = "nerdtree"; - rev = "926ff0ec038d2be0bf4ff3412d3c7144b5f82498"; - sha256 = "12znr5q2p41yq4av27a49ciisdlv3ajh9qrfgbkvc581l2pbq0yz"; + rev = "42455176896560bf8cf7fc8457232131231b358f"; + sha256 = "08nmzgr536yqv1rnlf6m5xj176wfamcd4zjp4pc4r9b955r874c0"; }; }; @@ -1950,12 +1961,12 @@ let nvim-yarp = buildVimPluginFrom2Nix { pname = "nvim-yarp"; - version = "2019-05-21"; + version = "2019-10-13"; src = fetchFromGitHub { owner = "roxma"; repo = "nvim-yarp"; - rev = "8fcb1af27772174df5446d49de29052cac47e46f"; - sha256 = "0ya3xgbnpps6s67rxfwpcfv39micl1d2wblzb7xvs1pmsymwbj0r"; + rev = "81caad80107abb9c2f5159e02e2cd95876370bec"; + sha256 = "01x1jx7wxpjkv09l9wx1ygsjqrdvfy47pxqgjzmnx4x126ji9gw2"; }; }; @@ -2258,12 +2269,12 @@ let rust-vim = buildVimPluginFrom2Nix { pname = "rust-vim"; - version = "2019-10-08"; + version = "2019-10-13"; src = fetchFromGitHub { owner = "rust-lang"; repo = "rust.vim"; - rev = "7959279612914eeaa584f5ce719fc71cd967e384"; - sha256 = "0glmnj3ra4m9hi0qrvdi8g2h29vzjw9biwq1l2sjx4hmv6smnri5"; + rev = "8293adcd9c5645379133bea4d77de30b1476528c"; + sha256 = "1cc1wq2lbsl7jxh3mal0yhj3z2fn1q60ip4666kshx5yryikhs3p"; }; }; @@ -3579,12 +3590,12 @@ let vim-go = buildVimPluginFrom2Nix { pname = "vim-go"; - version = "2019-10-11"; + version = "2019-10-13"; src = fetchFromGitHub { owner = "fatih"; repo = "vim-go"; - rev = "51940d350f87611d6128e641766dcab73003a6fa"; - sha256 = "0ajzb64z12c51c7k8zbj34i2mali289q0gyj2qpmi4ganfq4zdg8"; + rev = "17c53d38f17b0978323868ac12573f33a6fb8100"; + sha256 = "1fal9w1xkwbsidj9rskxkl7406n92rwghas6vw5nx3v95pn8lb7k"; }; }; @@ -4084,6 +4095,17 @@ let }; }; + vim-manpager = buildVimPluginFrom2Nix { + pname = "vim-manpager"; + version = "2017-05-08"; + src = fetchFromGitHub { + owner = "lambdalisue"; + repo = "vim-manpager"; + rev = "25bdd9448651a7669659b1b8d8d7a82acdfbf8af"; + sha256 = "0d7rg4lvyxr4v34bimxpdkynmvs606fqvv0qpi7g139ng9hv6wqm"; + }; + }; + vim-markdown = buildVimPluginFrom2Nix { pname = "vim-markdown"; version = "2019-07-09"; @@ -4361,12 +4383,12 @@ let vim-plug = buildVimPluginFrom2Nix { pname = "vim-plug"; - version = "2019-10-04"; + version = "2019-10-14"; src = fetchFromGitHub { owner = "junegunn"; repo = "vim-plug"; - rev = "849b76be90a2c5a13fd9943b2b76d77a9b6ebc0f"; - sha256 = "16s6fcb9cx6lib0vh30whbrqs9j6j2wjc0cykd80vjdhi4rv1r55"; + rev = "fcfd5b7e1f2ac9f90e933037cd2ef1d0ef2ff992"; + sha256 = "1j4jwy84pypick27gisw3z1gqa54zamlfhl2dpy4ixgqxgvrdf6k"; }; }; @@ -5032,12 +5054,12 @@ let vim-visual-multi = buildVimPluginFrom2Nix { pname = "vim-visual-multi"; - version = "2019-09-26"; + version = "2019-10-12"; src = fetchFromGitHub { owner = "mg979"; repo = "vim-visual-multi"; - rev = "56104bd06a30c08bf0c0dd69a98d5cb516a3025c"; - sha256 = "1fjn4cdw99y78c8gway1pg9sbqgyr0jbbr6snh8awlnmgwa3yrg7"; + rev = "23d3a3910469a6f81de5b4bdb485d092c2eb7e78"; + sha256 = "017fkswbb8knw4z33hn2vjyjzaay6hjzm676pji3ravm5bh2nv3c"; }; }; @@ -5151,6 +5173,17 @@ let }; }; + vimfiler-vim = buildVimPluginFrom2Nix { + pname = "vimfiler-vim"; + version = "2019-07-30"; + src = fetchFromGitHub { + owner = "Shougo"; + repo = "vimfiler.vim"; + rev = "26d3fec10cb8921d510064411784301794229a93"; + sha256 = "0iv1smk0999sfm3iqdlpb2il74l01lxfahwg87g7h3gq9frky2wd"; + }; + }; + vimoutliner = buildVimPluginFrom2Nix { pname = "vimoutliner"; version = "2018-07-04"; @@ -5197,12 +5230,12 @@ let vimtex = buildVimPluginFrom2Nix { pname = "vimtex"; - version = "2019-10-10"; + version = "2019-10-14"; src = fetchFromGitHub { owner = "lervag"; repo = "vimtex"; - rev = "7fc7a1b37514716bf9ae685024fa5009085f8d17"; - sha256 = "1z6js7przlncfdriyds89am3hk4yc0bmj6qg7cn89kfgh85zg6vs"; + rev = "335dbe97ce6f3aae311396f9567d2457270589b1"; + sha256 = "1q8jjxab87lfa1sp6sxh7540i01nbbh9inh66zv5fshgga95vv0j"; }; }; diff --git a/nixpkgs/pkgs/misc/vim-plugins/vim-plugin-names b/nixpkgs/pkgs/misc/vim-plugins/vim-plugin-names index 37c9e3edca9..f6ac20d3b82 100644 --- a/nixpkgs/pkgs/misc/vim-plugins/vim-plugin-names +++ b/nixpkgs/pkgs/misc/vim-plugins/vim-plugin-names @@ -47,6 +47,7 @@ christoomey/vim-tmux-navigator cloudhead/neovim-fuzzy CoatiSoftware/vim-sourcetrail cocopon/iceberg.vim +cohama/lexima.vim ctjhoa/spacevim ctrlpvim/ctrlp.vim dag/vim2hs @@ -175,6 +176,7 @@ konfekt/fastfold kristijanhusak/vim-hybrid-material kshenoy/vim-signature lambdalisue/vim-gista +lambdalisue/vim-manpager lambdalisue/vim-pager latex-box-team/latex-box leafgarland/typescript-vim @@ -366,6 +368,7 @@ Shougo/neosnippet.vim Shougo/neoyank.vim Shougo/tabpagebuffer.vim Shougo/unite.vim +Shougo/vimfiler.vim Shougo/vimproc.vim Shougo/vimshell.vim shumphrey/fugitive-gitlab.vim diff --git a/nixpkgs/pkgs/os-specific/linux/autofs/default.nix b/nixpkgs/pkgs/os-specific/linux/autofs/default.nix index 38e2fa9bd34..591a9a8792f 100644 --- a/nixpkgs/pkgs/os-specific/linux/autofs/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/autofs/default.nix @@ -2,14 +2,14 @@ , libxml2, kerberos, kmod, openldap, sssd, cyrus_sasl, openssl }: let - version = "5.1.5"; + version = "5.1.6"; name = "autofs-${version}"; in stdenv.mkDerivation { inherit name; src = fetchurl { url = "mirror://kernel/linux/daemons/autofs/v5/${name}.tar.xz"; - sha256 = "1nn0z60f49zchpv8yw67fk8hmbjszpnczs0bj2ql2vgxwbcxmbr3"; + sha256 = "1vya21mb4izj3khcr3flibv7xc15vvx2v0rjfk5yd31qnzcy7pnx"; }; preConfigure = '' diff --git a/nixpkgs/pkgs/os-specific/linux/bcc/default.nix b/nixpkgs/pkgs/os-specific/linux/bcc/default.nix index 014ebe80cdc..449f8e3d40b 100644 --- a/nixpkgs/pkgs/os-specific/linux/bcc/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/bcc/default.nix @@ -4,7 +4,7 @@ }: python.pkgs.buildPythonApplication rec { - version = "0.10.0"; + version = "0.11.0"; name = "bcc-${version}"; srcs = [ @@ -12,7 +12,7 @@ python.pkgs.buildPythonApplication rec { owner = "iovisor"; repo = "bcc"; rev = "v${version}"; - sha256 = "0qbqygj7ia494fbira9ajavvnxlpffx1jlzbb1vsf1wa8h3y4xn1"; + sha256 = "1v2gzdd4k58f3yxmq4z97a7xh5vyd84flzzfr9k2cm29i93cwcam"; name = "bcc"; }) @@ -21,8 +21,8 @@ python.pkgs.buildPythonApplication rec { (fetchFromGitHub { owner = "libbpf"; repo = "libbpf"; - rev = "0e37e0d03ac99987401e4496d3d76d44237b9963"; - sha256 = "0wjf9dhvqkwiwnygzikamrgmpxgq77h2pxx6mi4pnbw0lxlppivr"; + rev = "a30df5c09fb3941fc42c4570ed2545e7057bf82a"; + sha256 = "088vb9sfs1zazlqi6abb3ia1xgpmwiz5pmz6y3a6gbh0zdrgh6px"; name = "libbpf"; }) ]; diff --git a/nixpkgs/pkgs/os-specific/linux/bluez/default.nix b/nixpkgs/pkgs/os-specific/linux/bluez/default.nix index be43d23d611..cca3674bdd1 100644 --- a/nixpkgs/pkgs/os-specific/linux/bluez/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/bluez/default.nix @@ -48,12 +48,12 @@ stdenv.mkDerivation rec { "--enable-library" "--enable-cups" "--enable-pie" - "--with-dbusconfdir=$(out)/etc" - "--with-dbussystembusdir=$(out)/share/dbus-1/system-services" - "--with-dbussessionbusdir=$(out)/share/dbus-1/services" - "--with-systemdsystemunitdir=$(out)/etc/systemd/system" - "--with-systemduserunitdir=$(out)/etc/systemd/user" - "--with-udevdir=$(out)/lib/udev" + "--with-dbusconfdir=${placeholder "out"}/share" + "--with-dbussystembusdir=${placeholder "out"}/share/dbus-1/system-services" + "--with-dbussessionbusdir=${placeholder "out"}/share/dbus-1/services" + "--with-systemdsystemunitdir=${placeholder "out"}/etc/systemd/system" + "--with-systemduserunitdir=${placeholder "out"}/etc/systemd/user" + "--with-udevdir=${placeholder "out"}/lib/udev" ] ++ optional enableWiimote [ "--enable-wiimote" ] ++ optional enableMidi [ "--enable-midi" ] ++ optional enableSixaxis [ "--enable-sixaxis" ]); @@ -61,7 +61,7 @@ stdenv.mkDerivation rec { # Work around `make install' trying to create /var/lib/bluetooth. installFlags = "statedir=$(TMPDIR)/var/lib/bluetooth"; - makeFlags = "rulesdir=$(out)/lib/udev/rules.d"; + makeFlags = "rulesdir=${placeholder "out"}/lib/udev/rules.d"; postInstall = '' mkdir -p $test/{bin,test} diff --git a/nixpkgs/pkgs/os-specific/linux/bpftrace/default.nix b/nixpkgs/pkgs/os-specific/linux/bpftrace/default.nix index 7f5cd206f32..02a46e5a776 100644 --- a/nixpkgs/pkgs/os-specific/linux/bpftrace/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/bpftrace/default.nix @@ -5,13 +5,13 @@ stdenv.mkDerivation rec { pname = "bpftrace"; - version = "0.9.1"; + version = "0.9.2"; src = fetchFromGitHub { owner = "iovisor"; repo = "bpftrace"; rev = "refs/tags/v${version}"; - sha256 = "17qf1c3h99iyxkc0xzix4jnxwqvxbg9ki23zm7l04qw73lj01g1m"; + sha256 = "19lblnhx3p0qk8s3qgxrx9wl0d6dgz5dfp4gw6a6cvhhyn78cldm"; }; enableParallelBuilding = true; diff --git a/nixpkgs/pkgs/os-specific/linux/dpdk/default.nix b/nixpkgs/pkgs/os-specific/linux/dpdk/default.nix index 13614493f61..9a74b0bb4a8 100644 --- a/nixpkgs/pkgs/os-specific/linux/dpdk/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/dpdk/default.nix @@ -8,11 +8,11 @@ let in stdenv.mkDerivation rec { name = "dpdk-${version}" + lib.optionalString mod "-${kernel.version}"; - version = "17.11.2"; + version = "19.08"; src = fetchurl { url = "https://fast.dpdk.org/rel/dpdk-${version}.tar.xz"; - sha256 = "19m5l3jkrns8r1zbjb6ry18w50ff36kbl5b5g6pfcp9p57sfisd2"; + sha256 = "0xgrkip2aji1c7jy5gk38zzwlp5ap1s6dmbcag5dnyy3bmwvmp9y"; }; nativeBuildInputs = [ pkgconfig ]; @@ -59,6 +59,6 @@ EOF homepage = http://dpdk.org/; license = with licenses; [ lgpl21 gpl2 bsd2 ]; platforms = [ "x86_64-linux" ]; - maintainers = with maintainers; [ domenkozar orivej ]; + maintainers = with maintainers; [ domenkozar magenbluten orivej ]; }; } diff --git a/nixpkgs/pkgs/os-specific/linux/ell/default.nix b/nixpkgs/pkgs/os-specific/linux/ell/default.nix index 223a9b7bf79..a8776f688ca 100644 --- a/nixpkgs/pkgs/os-specific/linux/ell/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/ell/default.nix @@ -7,14 +7,14 @@ stdenv.mkDerivation rec { pname = "ell"; - version = "0.22"; + version = "0.24"; outputs = [ "out" "dev" ]; src = fetchgit { url = "https://git.kernel.org/pub/scm/libs/${pname}/${pname}.git"; rev = version; - sha256 = "0dk4j1b8sy4j6w91cq5ga99f3hln9fgh79ayi9kvn8xgzksmhjdp"; + sha256 = "1dspi6ds58dy7g1q3chapxydfiyz8bjjlryq66jvwgpynzp0c1h1"; }; patches = [ diff --git a/nixpkgs/pkgs/os-specific/linux/intel-compute-runtime/default.nix b/nixpkgs/pkgs/os-specific/linux/intel-compute-runtime/default.nix new file mode 100644 index 00000000000..5021d12acec --- /dev/null +++ b/nixpkgs/pkgs/os-specific/linux/intel-compute-runtime/default.nix @@ -0,0 +1,57 @@ +{ stdenv +, fetchFromGitHub +, patchelf +, cmake +, pkgconfig + +, intel-gmmlib +, intel-graphics-compiler +, libva +}: + +stdenv.mkDerivation rec { + pname = "intel-compute-runtime"; + version = "19.34.13959"; + + src = fetchFromGitHub { + owner = "intel"; + repo = "compute-runtime"; + rev = version; + sha256 = "1m54w5p5pilrkmlmqgvgrsm3d5dqfdr4jai5siq5ccsqj4gnv1wz"; + }; + + # Build script tries to write the ICD to /etc + patches = [ ./etc-dir.patch ]; + + nativeBuildInputs = [ cmake pkgconfig ]; + + buildInputs = [ intel-gmmlib intel-graphics-compiler libva ]; + + cmakeFlags = [ + "-DSKIP_UNIT_TESTS=1" + + "-DIGC_DIR=${intel-graphics-compiler}" + "-DETC_DIR=${placeholder "out"}/etc" + + # The install script assumes this path is relative to CMAKE_INSTALL_PREFIX + "-DCMAKE_INSTALL_LIBDIR=lib" + ]; + + postInstall = '' + # Avoid clash with intel-ocl + mv $out/etc/OpenCL/vendors/intel.icd $out/etc/OpenCL/vendors/intel-neo.icd + ''; + + postFixup = '' + patchelf --set-rpath ${stdenv.lib.makeLibraryPath [ intel-gmmlib intel-graphics-compiler libva ]} \ + $out/lib/intel-opencl/libigdrcl.so + ''; + + meta = with stdenv.lib; { + homepage = https://github.com/intel/compute-runtime; + description = "Intel Graphics Compute Runtime for OpenCL. Replaces Beignet for Gen8 (Broadwell) and beyond."; + license = licenses.mit; + platforms = platforms.linux; + maintainers = with maintainers; [ gloaming ]; + }; +} diff --git a/nixpkgs/pkgs/os-specific/linux/intel-compute-runtime/etc-dir.patch b/nixpkgs/pkgs/os-specific/linux/intel-compute-runtime/etc-dir.patch new file mode 100644 index 00000000000..d9a80ffa6f9 --- /dev/null +++ b/nixpkgs/pkgs/os-specific/linux/intel-compute-runtime/etc-dir.patch @@ -0,0 +1,15 @@ +diff --git a/package.cmake b/package.cmake +index 24960d5..e9a21e7 100644 +--- a/package.cmake ++++ b/package.cmake +@@ -24,7 +24,9 @@ if(UNIX) + + get_os_release_info(os_name os_version) + +- if("${os_name}" STREQUAL "clear-linux-os") ++ if(DEFINED ETC_DIR) ++ set(_dir_etc ${ETC_DIR}) ++ elseif("${os_name}" STREQUAL "clear-linux-os") + # clear-linux-os distribution avoids /etc for distribution defaults. + set(_dir_etc "/usr/share/defaults/etc") + else() diff --git a/nixpkgs/pkgs/os-specific/linux/iptables/default.nix b/nixpkgs/pkgs/os-specific/linux/iptables/default.nix index cf06ff35325..882c24057bb 100644 --- a/nixpkgs/pkgs/os-specific/linux/iptables/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/iptables/default.nix @@ -1,32 +1,48 @@ -{ stdenv, fetchurl, bison, flex, pkgconfig, pruneLibtoolFiles -, libnetfilter_conntrack, libnftnl, libmnl, libpcap }: +{ stdenv, fetchurl, pkgconfig, pruneLibtoolFiles, flex, bison +, libmnl, libnetfilter_conntrack, libnfnetlink, libnftnl, libpcap +, nftablesCompat ? false +}: + +with stdenv.lib; stdenv.mkDerivation rec { - pname = "iptables"; version = "1.8.3"; + pname = "iptables"; src = fetchurl { url = "https://www.netfilter.org/projects/${pname}/files/${pname}-${version}.tar.bz2"; sha256 = "106xkkg5crsscjlinxvqvprva23fwwqfgrzl8m2nn841841sqg52"; }; - nativeBuildInputs = [ bison flex pkgconfig pruneLibtoolFiles ]; + nativeBuildInputs = [ pkgconfig pruneLibtoolFiles flex bison ]; - buildInputs = [ libnetfilter_conntrack libnftnl libmnl libpcap ]; + buildInputs = [ libmnl libnetfilter_conntrack libnfnetlink libnftnl libpcap ]; preConfigure = '' export NIX_LDFLAGS="$NIX_LDFLAGS -lmnl -lnftnl" ''; configureFlags = [ + "--enable-bpf-compiler" "--enable-devel" + "--enable-libipq" + "--enable-nfsynproxy" "--enable-shared" - "--enable-bpf-compiler" - ]; + ] ++ optional (!nftablesCompat) "--disable-nftables"; outputs = [ "out" "dev" ]; - meta = with stdenv.lib; { + postInstall = optional nftablesCompat '' + rm $out/sbin/{iptables,iptables-restore,iptables-save,ip6tables,ip6tables-restore,ip6tables-save} + ln -sv xtables-nft-multi $out/bin/iptables + ln -sv xtables-nft-multi $out/bin/iptables-restore + ln -sv xtables-nft-multi $out/bin/iptables-save + ln -sv xtables-nft-multi $out/bin/ip6tables + ln -sv xtables-nft-multi $out/bin/ip6tables-restore + ln -sv xtables-nft-multi $out/bin/ip6tables-save + ''; + + meta = { description = "A program to configure the Linux IP packet filtering ruleset"; homepage = https://www.netfilter.org/projects/iptables/index.html; platforms = platforms.linux; diff --git a/nixpkgs/pkgs/os-specific/linux/iwd/default.nix b/nixpkgs/pkgs/os-specific/linux/iwd/default.nix index 59d41412f55..6d0eba0b0db 100644 --- a/nixpkgs/pkgs/os-specific/linux/iwd/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/iwd/default.nix @@ -5,32 +5,24 @@ , pkgconfig , ell , coreutils +, docutils , readline , python3Packages }: stdenv.mkDerivation rec { pname = "iwd"; - version = "0.20"; + version = "0.22"; src = fetchgit { url = https://git.kernel.org/pub/scm/network/wireless/iwd.git; rev = version; - sha256 = "03ca47d4hn28vkf5fr6ck1gz5py4lm1pw3nw9s1ckw7cqxw961sf"; + sha256 = "0mjc08ayq2k7sinqanrlm97dn88dxkqkyk2vqqcx1nqjvwvbpbsp"; }; - patches = [ - # Undo creating ReadWritePaths as instalation target. - (fetchpatch { - name = "revert-create-dirs-on-install.patch"; - url = "https://git.kernel.org/pub/scm/network/wireless/iwd.git/patch/?id=5a96c11664eb553bc28a2142af382b190254edbb"; - sha256 = "08gkz3ia1l5xsh3pbx4abimgf7m88wygfpfyg77yi6dwavjqm6cx"; - revert = true; - }) - ]; - nativeBuildInputs = [ autoreconfHook + docutils pkgconfig python3Packages.wrapPython ]; diff --git a/nixpkgs/pkgs/os-specific/linux/kernel/common-config.nix b/nixpkgs/pkgs/os-specific/linux/kernel/common-config.nix index 3b409f15aba..963f3018e11 100644 --- a/nixpkgs/pkgs/os-specific/linux/kernel/common-config.nix +++ b/nixpkgs/pkgs/os-specific/linux/kernel/common-config.nix @@ -33,7 +33,6 @@ let DYNAMIC_DEBUG = yes; TIMER_STATS = whenOlder "4.11" yes; DEBUG_NX_TEST = whenOlder "4.11" no; - CPU_NOTIFIER_ERROR_INJECT = whenOlder "4.4" (option no); DEBUG_STACK_USAGE = no; DEBUG_STACKOVERFLOW = mkIf (!features.grsecurity) no; RCU_TORTURE_TEST = no; @@ -42,6 +41,8 @@ let CRASH_DUMP = option no; # Easier debugging of NFS issues. SUNRPC_DEBUG = yes; + # Provide access to tunables like sched_migration_cost_ns + SCHED_DEBUG = yes; }; power-management = { @@ -97,8 +98,6 @@ let networking = { NET = yes; IP_PNP = no; - NETFILTER = yes; - NETFILTER_ADVANCED = yes; IP_VS_PROTO_TCP = yes; IP_VS_PROTO_UDP = yes; IP_VS_PROTO_ESP = yes; @@ -143,12 +142,32 @@ let KEY_DH_OPERATIONS = whenAtLeast "4.7" yes; # needed for nftables - NF_TABLES_INET = whenAtLeast "4.17" yes; - NF_TABLES_NETDEV = whenAtLeast "4.17" yes; - NF_TABLES_IPV4 = whenAtLeast "4.17" yes; - NF_TABLES_ARP = whenAtLeast "4.17" yes; - NF_TABLES_IPV6 = whenAtLeast "4.17" yes; - NF_TABLES_BRIDGE = whenBetween "4.17" "5.3" yes; + # Networking Options + NETFILTER = yes; + NETFILTER_ADVANCED = yes; + # Core Netfilter Configuration + NF_CONNTRACK_ZONES = yes; + NF_CONNTRACK_EVENTS = yes; + NF_CONNTRACK_TIMEOUT = yes; + NF_CONNTRACK_TIMESTAMP = yes; + NETFILTER_NETLINK_GLUE_CT = yes; + NF_TABLES_INET = whenAtLeast "4.19" yes; + NF_TABLES_NETDEV = whenAtLeast "4.19" yes; + # IP: Netfilter Configuration + NF_TABLES_IPV4 = yes; + NF_TABLES_ARP = whenAtLeast "4.19" yes; + # IPv6: Netfilter Configuration + NF_TABLES_IPV6 = yes; + # Bridge Netfilter Configuration + NF_TABLES_BRIDGE = mkMerge [ (whenBetween "4.19" "5.3" yes) + (whenAtLeast "5.3" module) ]; + + # needed for ss + INET_DIAG = yes; + INET_TCP_DIAG = module; + INET_UDP_DIAG = module; + INET_RAW_DIAG = whenAtLeast "4.14" module; + INET_DIAG_DESTROY = whenAtLeast "4.9" yes; }; wireless = { @@ -185,8 +204,6 @@ let }; video = { - # Enable KMS for devices whose X.org driver supports it - DRM_I915_KMS = whenOlder "4.3" yes; # Allow specifying custom EDID on the kernel command line DRM_LOAD_EDID_FIRMWARE = yes; VGA_SWITCHEROO = yes; # Hybrid graphics support @@ -255,7 +272,6 @@ let EXT2_FS_XATTR = yes; EXT2_FS_POSIX_ACL = yes; EXT2_FS_SECURITY = yes; - EXT2_FS_XIP = whenOlder "4.0" yes; # Ext2 execute in place support EXT3_FS_POSIX_ACL = yes; EXT3_FS_SECURITY = yes; @@ -357,10 +373,6 @@ let MICROCODE = yes; MICROCODE_INTEL = yes; MICROCODE_AMD = yes; - - MICROCODE_EARLY = whenOlder "4.4" yes; - MICROCODE_INTEL_EARLY = whenOlder "4.4" yes; - MICROCODE_AMD_EARLY = whenOlder "4.4" yes; } // optionalAttrs (versionAtLeast version "4.10") { # Write Back Throttling # https://lwn.net/Articles/682582/ @@ -705,7 +717,7 @@ let PREEMPT = no; PREEMPT_VOLUNTARY = yes; - + X86_AMD_PLATFORM_DEVICE = yes; } // optionalAttrs (stdenv.hostPlatform.system == "x86_64-linux" || stdenv.hostPlatform.system == "aarch64-linux") { diff --git a/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.14.nix b/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.14.nix index 289f7cc3915..58390ee0636 100644 --- a/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.14.nix +++ b/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.14.nix @@ -3,7 +3,7 @@ with stdenv.lib; buildLinux (args // rec { - version = "4.14.149"; + version = "4.14.150"; # modDirVersion needs to be x.y.z, will automatically add .0 if needed modDirVersion = if (modDirVersionArg == null) then concatStringsSep "." (take 3 (splitVersion "${version}.0")) else modDirVersionArg; @@ -13,6 +13,6 @@ buildLinux (args // rec { src = fetchurl { url = "mirror://kernel/linux/kernel/v4.x/linux-${version}.tar.xz"; - sha256 = "15pjngy3j5vnisv462ll7wsg78qv7q2cz86da0bcwh446v9ap7g6"; + sha256 = "1c2pxfvv31af0mzcqnbfjk8pc0wrhg4yhspl8a3ab2w5dfwa9ib5"; }; } // (args.argsOverride or {})) diff --git a/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.19.nix b/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.19.nix index decfab4382a..c63d78391b8 100644 --- a/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.19.nix +++ b/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.19.nix @@ -3,7 +3,7 @@ with stdenv.lib; buildLinux (args // rec { - version = "4.19.79"; + version = "4.19.80"; # modDirVersion needs to be x.y.z, will automatically add .0 if needed modDirVersion = if (modDirVersionArg == null) then concatStringsSep "." (take 3 (splitVersion "${version}.0")) else modDirVersionArg; @@ -13,6 +13,6 @@ buildLinux (args // rec { src = fetchurl { url = "mirror://kernel/linux/kernel/v4.x/linux-${version}.tar.xz"; - sha256 = "0d2bcg0krahia2ylgqaxdppyr9idq2pi6y1si6h8n9sg6rj3a57i"; + sha256 = "1v776s6q5wxn8ci86dwa8s8y41b94g09fnpgvzysg2h89rvbmac0"; }; } // (args.argsOverride or {})) diff --git a/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.4.nix b/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.4.nix index 65ee9634ba4..7820ed3258f 100644 --- a/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.4.nix +++ b/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.4.nix @@ -1,11 +1,11 @@ { stdenv, buildPackages, fetchurl, perl, buildLinux, ... } @ args: buildLinux (args // rec { - version = "4.4.196"; + version = "4.4.197"; extraMeta.branch = "4.4"; src = fetchurl { url = "mirror://kernel/linux/kernel/v4.x/linux-${version}.tar.xz"; - sha256 = "0vd7fra22ky4sqp6vamracp5xd4900md5vdx0n4i6dhkf03kz7hn"; + sha256 = "0ypfl1q1bdbk81hk0bm8a0grqzz4z5rp7z7asa3191ji3r8q9x4w"; }; } // (args.argsOverride or {})) diff --git a/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.9.nix b/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.9.nix index c9e72a3264b..06d752cf34e 100644 --- a/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.9.nix +++ b/nixpkgs/pkgs/os-specific/linux/kernel/linux-4.9.nix @@ -1,11 +1,11 @@ { stdenv, buildPackages, fetchurl, perl, buildLinux, ... } @ args: buildLinux (args // rec { - version = "4.9.196"; + version = "4.9.197"; extraMeta.branch = "4.9"; src = fetchurl { url = "mirror://kernel/linux/kernel/v4.x/linux-${version}.tar.xz"; - sha256 = "1vy6j9ycl5aw0dmj4n9kih5i8igybk0ilahlwbn30mlp9aq15az0"; + sha256 = "032as6g4xvqjarqhvx7mr14yhn6idak4g0ps1skmsl4dfav6hdam"; }; } // (args.argsOverride or {})) diff --git a/nixpkgs/pkgs/os-specific/linux/kernel/linux-5.3.nix b/nixpkgs/pkgs/os-specific/linux/kernel/linux-5.3.nix index 9311cad10a0..ecf629577ff 100644 --- a/nixpkgs/pkgs/os-specific/linux/kernel/linux-5.3.nix +++ b/nixpkgs/pkgs/os-specific/linux/kernel/linux-5.3.nix @@ -3,7 +3,7 @@ with stdenv.lib; buildLinux (args // rec { - version = "5.3.6"; + version = "5.3.7"; # modDirVersion needs to be x.y.z, will automatically add .0 if needed modDirVersion = if (modDirVersionArg == null) then concatStringsSep "." (take 3 (splitVersion "${version}.0")) else modDirVersionArg; @@ -13,6 +13,6 @@ buildLinux (args // rec { src = fetchurl { url = "mirror://kernel/linux/kernel/v5.x/linux-${version}.tar.xz"; - sha256 = "07zcfpj6ras7mmazny5xjwf8v6l5hwdgnla21sqpppl48ylj2h78"; + sha256 = "00j8sdrmmppqf38vl50a4zas5gy7yv37n43b61f8472k45773jf6"; }; } // (args.argsOverride or {})) diff --git a/nixpkgs/pkgs/os-specific/linux/kernel/linux-testing-bcachefs.nix b/nixpkgs/pkgs/os-specific/linux/kernel/linux-testing-bcachefs.nix index 578cbf318b8..f4b9e5b8da6 100644 --- a/nixpkgs/pkgs/os-specific/linux/kernel/linux-testing-bcachefs.nix +++ b/nixpkgs/pkgs/os-specific/linux/kernel/linux-testing-bcachefs.nix @@ -1,13 +1,13 @@ { stdenv, buildPackages, fetchgit, fetchpatch, perl, buildLinux, ... } @ args: buildLinux (args // { - version = "5.2.2019.10.01"; + version = "5.2.2019.10.12"; modDirVersion = "5.2.0"; src = fetchgit { url = "https://evilpiepirate.org/git/bcachefs.git"; - rev = "45920b5139a752bb4f22871b8b916beacc4f9fb9"; - sha256 = "1hi98jckzd8d7whivmgl1ywdfdixhq7la37jagwnwbf8lsqsp25i"; + rev = "de906c3e2eddad291d46bd0e7c81c68eaadcd08a"; + sha256 = "1ahabp8pd9slf4lchkbyfkagg9vhic0cw3kwvwryzaxxxjmf2hkk"; }; extraConfig = "BCACHEFS_FS m"; diff --git a/nixpkgs/pkgs/os-specific/linux/kernel/linux-testing.nix b/nixpkgs/pkgs/os-specific/linux/kernel/linux-testing.nix index 731d44d69a3..23201f1c1b3 100644 --- a/nixpkgs/pkgs/os-specific/linux/kernel/linux-testing.nix +++ b/nixpkgs/pkgs/os-specific/linux/kernel/linux-testing.nix @@ -3,7 +3,7 @@ with stdenv.lib; buildLinux (args // rec { - version = "5.4-rc2"; + version = "5.4-rc3"; extraMeta.branch = "5.4"; # modDirVersion needs to be x.y.z, will always add .0 @@ -11,7 +11,7 @@ buildLinux (args // rec { src = fetchurl { url = "https://git.kernel.org/torvalds/t/linux-${version}.tar.gz"; - sha256 = "0dnn771wydwc4sngy9a3szvflbw9g97ann4iix4k4bq4gr18jw2c"; + sha256 = "1hp9b71ip8a9mlgnwhr8x7mhy5qkgz57hd5xqskfx3axbsh2j3f5"; }; # Should the testing kernels ever be built on Hydra? diff --git a/nixpkgs/pkgs/os-specific/linux/lvm2/default.nix b/nixpkgs/pkgs/os-specific/linux/lvm2/default.nix index 9944250bf30..d5b45117732 100644 --- a/nixpkgs/pkgs/os-specific/linux/lvm2/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/lvm2/default.nix @@ -21,7 +21,6 @@ stdenv.mkDerivation { "--enable-udev_rules" "--enable-udev_sync" "--enable-pkgconfig" - "--enable-applib" "--enable-cmdlib" ] ++ stdenv.lib.optional enable_dmeventd " --enable-dmeventd" ++ stdenv.lib.optionals (stdenv.hostPlatform != stdenv.buildPlatform) [ @@ -34,17 +33,15 @@ stdenv.mkDerivation { preConfigure = '' - substituteInPlace scripts/lvm2_activation_generator_systemd_red_hat.c \ - --replace /usr/bin/udevadm ${systemd}/bin/udevadm - sed -i /DEFAULT_SYS_DIR/d Makefile.in sed -i /DEFAULT_PROFILE_DIR/d conf/Makefile.in + '' + stdenv.lib.optionalString (systemd != null) '' + substituteInPlace scripts/lvm2_activation_generator_systemd_red_hat.c \ + --replace /usr/bin/udevadm ${systemd}/bin/udevadm ''; - # gcc: error: ../../device_mapper/libdevice-mapper.a: No such file or directory - enableParallelBuilding = false; + enableParallelBuilding = true; - #patches = [ ./purity.patch ]; patches = stdenv.lib.optionals stdenv.hostPlatform.isMusl [ (fetchpatch { name = "fix-stdio-usage.patch"; @@ -75,7 +72,7 @@ stdenv.mkDerivation { '' substituteInPlace $out/lib/udev/rules.d/13-dm-disk.rules \ --replace $out/sbin/blkid ${utillinux}/sbin/blkid - + '' + stdenv.lib.optionalString (systemd != null) '' # Systemd stuff mkdir -p $out/etc/systemd/system $out/lib/systemd/system-generators cp scripts/blk_availability_systemd_red_hat.service $out/etc/systemd/system diff --git a/nixpkgs/pkgs/os-specific/linux/lvm2/purity.patch b/nixpkgs/pkgs/os-specific/linux/lvm2/purity.patch deleted file mode 100644 index d6990dd9caa..00000000000 --- a/nixpkgs/pkgs/os-specific/linux/lvm2/purity.patch +++ /dev/null @@ -1,44 +0,0 @@ -diff -ru LVM2.2.02.95-orig/udev/10-dm.rules.in LVM2.2.02.95/udev/10-dm.rules.in ---- LVM2.2.02.95-orig/udev/10-dm.rules.in 2011-08-11 19:55:29.000000000 +0200 -+++ LVM2.2.02.95/udev/10-dm.rules.in 2012-03-19 20:12:35.000000000 +0100 -@@ -19,9 +19,8 @@ - SUBSYSTEM!="block", GOTO="dm_end" - KERNEL!="dm-[0-9]*", GOTO="dm_end" - --# Set proper sbin path, /sbin has higher priority than /usr/sbin. --ENV{DM_SBIN_PATH}="/sbin" --TEST!="$env{DM_SBIN_PATH}/dmsetup", ENV{DM_SBIN_PATH}="/usr/sbin" -+# Set proper sbin path. Exit if dmsetup is not present. -+ENV{DM_SBIN_PATH}="(sbindir)" - TEST!="$env{DM_SBIN_PATH}/dmsetup", GOTO="dm_end" - - # Device created, major and minor number assigned - "add" event generated. -diff -ru LVM2.2.02.95-orig/udev/Makefile.in LVM2.2.02.95/udev/Makefile.in ---- LVM2.2.02.95-orig/udev/Makefile.in 2012-02-24 10:53:12.000000000 +0100 -+++ LVM2.2.02.95/udev/Makefile.in 2012-03-19 20:16:09.000000000 +0100 -@@ -12,6 +12,7 @@ - # Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA - - srcdir = @srcdir@ -+sbindir = @sbindir@ - top_srcdir = @top_srcdir@ - top_builddir = @top_builddir@ - -@@ -26,7 +27,7 @@ - ifeq ("@UDEV_HAS_BUILTIN_BLKID@", "yes") - BLKID_RULE=IMPORT{builtin}=\"blkid\" - else -- BLKID_RULE=IMPORT{program}=\"\$$env{DM_SBIN_PATH}\/blkid -o udev -p \$$tempnode\" -+ BLKID_RULE=IMPORT{program}=\"\/sbin\/blkid -o udev -p \$$tempnode\" - endif - - CLEAN_TARGETS = 10-dm.rules 13-dm-disk.rules -@@ -36,7 +37,7 @@ - vpath %.rules $(srcdir) - - %.rules: %.rules.in -- $(SED) -e "s/(DM_DIR)/$(DM_DIR)/" -e "s/(BLKID_RULE)/$(BLKID_RULE)/" $< >$@ -+ $(SED) -e "s/(DM_DIR)/$(DM_DIR)/" -e "s/(BLKID_RULE)/$(BLKID_RULE)/" -e "s|(sbindir)|$(sbindir)|" $< >$@ - - %_install: %.rules - $(INSTALL_DATA) -D $< $(udevdir)/$(<F) diff --git a/nixpkgs/pkgs/os-specific/linux/odp-dpdk/default.nix b/nixpkgs/pkgs/os-specific/linux/odp-dpdk/default.nix index 4040d70260c..2d1e59668aa 100644 --- a/nixpkgs/pkgs/os-specific/linux/odp-dpdk/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/odp-dpdk/default.nix @@ -1,8 +1,16 @@ { stdenv, fetchurl, autoreconfHook, pkgconfig , dpdk, libconfig, libpcap, numactl, openssl -}: +}: let -stdenv.mkDerivation rec { + dpdk_17_11 = dpdk.overrideAttrs (old: rec { + version = "17.11.6"; + src = fetchurl { + url = "https://fast.dpdk.org/rel/dpdk-${version}.tar.xz"; + sha256 = "0g4l6yjcn17n18c7q1pxkmnj4fg2kiv0krz7n2vjjsb8s6gmbps2"; + }; + }); + +in stdenv.mkDerivation rec { pname = "odp-dpdk"; version = "1.19.0.0_DPDK_17.11"; @@ -12,16 +20,16 @@ stdenv.mkDerivation rec { }; nativeBuildInputs = [ autoreconfHook pkgconfig ]; - buildInputs = [ dpdk libconfig libpcap numactl openssl ]; + buildInputs = [ dpdk_17_11 libconfig libpcap numactl openssl ]; - RTE_SDK = "${dpdk}/share/dpdk"; + RTE_SDK = "${dpdk_17_11}/share/dpdk"; RTE_TARGET = "x86_64-native-linuxapp-gcc"; dontDisableStatic = true; configureFlags = [ "--disable-shared" - "--with-dpdk-path=${dpdk}" + "--with-dpdk-path=${dpdk_17_11}" ]; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/os-specific/linux/pktgen/default.nix b/nixpkgs/pkgs/os-specific/linux/pktgen/default.nix index 0d92c7c6909..157bd02a06c 100644 --- a/nixpkgs/pkgs/os-specific/linux/pktgen/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/pktgen/default.nix @@ -1,33 +1,23 @@ { stdenv, lib, fetchurl, pkgconfig -, dpdk, libpcap, numactl, utillinux -, gtk2, withGtk ? false +, dpdk, libpcap, lua5_3, numactl, utillinux +, gtk2, which, withGtk ? false }: let - # pktgen needs a specific version of lua to apply its patch (see lib/lua/Makefile). - lua = rec { - name = "lua-5.3.4"; - basename = name + ".tar.gz"; - src = fetchurl { - url = "https://www.lua.org/ftp/${basename}"; - sha256 = "0320a8dg3aci4hxla380dx1ifkw8gj4gbw5c4dz41g1kh98sm0gn"; - }; - }; - in stdenv.mkDerivation rec { pname = "pktgen"; - version = "3.5.0"; + version = "3.7.2"; src = fetchurl { - url = "http://dpdk.org/browse/apps/pktgen-dpdk/snapshot/pktgen-${version}.tar.xz"; - sha256 = "1gy99jr9dbwzi9pd3w5k673h3pfnbkz6rbzmrkwcyis72pnphy5z"; + url = "http://dpdk.org/browse/apps/pktgen-dpdk/snapshot/${pname}-${version}.tar.xz"; + sha256 = "03k7h4j2lsrh6b7477hgn87ljrjh2673ncffx9v261bx1ns54y7w"; }; - nativeBuildInputs = stdenv.lib.optionals withGtk [ pkgconfig ]; + nativeBuildInputs = [ pkgconfig ]; buildInputs = - [ dpdk libpcap numactl ] + [ dpdk libpcap lua5_3 numactl which ] ++ stdenv.lib.optionals withGtk [gtk2]; RTE_SDK = "${dpdk}/share/dpdk"; @@ -37,19 +27,13 @@ in stdenv.mkDerivation rec { NIX_CFLAGS_COMPILE = [ "-msse3" ]; postPatch = let dpdkMajor = lib.versions.major dpdk.version; in '' - substituteInPlace app/Makefile --replace 'yy :=' 'yy := ${dpdkMajor} #' substituteInPlace lib/common/lscpu.h --replace /usr/bin/lscpu ${utillinux}/bin/lscpu - - ln -s ${lua.src} lib/lua/${lua.basename} - make -C lib/lua get_tarball # unpack and patch - substituteInPlace lib/lua/${lua.name}/src/luaconf.h --replace /usr/local $out ''; installPhase = '' install -d $out/bin install -m 0755 app/${RTE_TARGET}/pktgen $out/bin - install -d $out/lib/lua/5.3 - install -m 0644 Pktgen.lua $out/lib/lua/5.3 + install -m 0644 Pktgen.lua $out/bin ''; enableParallelBuilding = true; diff --git a/nixpkgs/pkgs/os-specific/linux/sysstat/default.nix b/nixpkgs/pkgs/os-specific/linux/sysstat/default.nix index e2adcd2cc2a..b2b7e1d6195 100644 --- a/nixpkgs/pkgs/os-specific/linux/sysstat/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/sysstat/default.nix @@ -4,7 +4,7 @@ stdenv.mkDerivation rec { name = "sysstat-12.1.5"; src = fetchurl { - url = "http://perso.orange.fr/sebastien.godard/${name}.tar.xz"; + url = "http://pagesperso-orange.fr/sebastien.godard/${name}.tar.xz"; sha256 = "1i92jmrqcpzgy98r1f4fd0x7y2wvwc05ywsh19w3s2gmndmr75m4"; }; diff --git a/nixpkgs/pkgs/os-specific/linux/systemd/default.nix b/nixpkgs/pkgs/os-specific/linux/systemd/default.nix index a7ed32ab696..e4c05e361b4 100644 --- a/nixpkgs/pkgs/os-specific/linux/systemd/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/systemd/default.nix @@ -3,7 +3,7 @@ , glib, kbd, libxslt, coreutils, libgcrypt, libgpgerror, libidn2, libapparmor , audit, lz4, bzip2, libmicrohttpd, pcre2 , linuxHeaders ? stdenv.cc.libc.linuxHeaders -, iptables, gnu-efi +, iptables, gnu-efi, bashInteractive , gettext, docbook_xsl, docbook_xml_dtd_42, docbook_xml_dtd_45 , ninja, meson, python3Packages, glibcLocales , patchelf @@ -24,8 +24,8 @@ stdenv.mkDerivation { src = fetchFromGitHub { owner = "NixOS"; repo = "systemd"; - rev = "7019836a26ebdc1ba20c03d06dbb3a613833bd0f"; - sha256 = "0ywaq5jfy177k4q5hwr43v66sz62l1bqhgyxs2vk9m1d5kvrjwk6"; + rev = "ccec67cab6c0fda85a1762eee7aeea422a0dc15e"; + sha256 = "12nq2ah33amhyfma464a4ssf90wh2ai8c7w55j381cks8jliny40"; }; outputs = [ "out" "lib" "man" "dev" ]; @@ -53,7 +53,7 @@ stdenv.mkDerivation { #dontAddPrefix = true; mesonFlags = [ - "-Ddbuspolicydir=${placeholder "out"}/etc/dbus-1/system.d" + "-Ddbuspolicydir=${placeholder "out"}/share/dbus-1/system.d" "-Ddbussessionservicedir=${placeholder "out"}/share/dbus-1/services" "-Ddbussystemservicedir=${placeholder "out"}/share/dbus-1/system-services" "-Dpamconfdir=${placeholder "out"}/etc/pam.d" @@ -64,6 +64,7 @@ stdenv.mkDerivation { "-Dloadkeys-path=${kbd}/bin/loadkeys" "-Dsetfont-path=${kbd}/bin/setfont" "-Dtty-gid=3" # tty in NixOS has gid 3 + "-Ddebug-shell=${bashInteractive}/bin/bash" # while we do not run tests we should also not build them. Removes about 600 targets "-Dtests=false" "-Dlz4=true" @@ -228,6 +229,6 @@ stdenv.mkDerivation { license = licenses.lgpl21Plus; platforms = platforms.linux; priority = 10; - maintainers = with maintainers; [ eelco andir ]; + maintainers = with maintainers; [ eelco andir mic92 ]; }; } diff --git a/nixpkgs/pkgs/os-specific/linux/wpa_supplicant/default.nix b/nixpkgs/pkgs/os-specific/linux/wpa_supplicant/default.nix index 69525c92ede..99425b6799c 100644 --- a/nixpkgs/pkgs/os-specific/linux/wpa_supplicant/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/wpa_supplicant/default.nix @@ -13,6 +13,14 @@ stdenv.mkDerivation rec { sha256 = "05qzak1mssnxcgdrafifxh9w86a4ha69qabkg4bsigk499xyxggw"; }; + patches = [ + (fetchurl { + name = "CVE-2019-16275.patch"; + url = "https://w1.fi/security/2019-7/0001-AP-Silently-ignore-management-frame-from-unexpected-.patch"; + sha256 = "15xjyy7crb557wxpx898b5lnyblxghlij0xby5lmj9hpwwss34dz"; + }) + ]; + # TODO: Patch epoll so that the dbus actually responds # TODO: Figure out how to get privsep working, currently getting SIGBUS extraConfig = '' @@ -85,11 +93,13 @@ stdenv.mkDerivation rec { mkdir -p $out/share/man/man5 $out/share/man/man8 cp -v "doc/docbook/"*.5 $out/share/man/man5/ cp -v "doc/docbook/"*.8 $out/share/man/man8/ - mkdir -p $out/etc/dbus-1/system.d $out/share/dbus-1/system-services $out/etc/systemd/system + + mkdir -p $out/share/dbus-1/system.d $out/share/dbus-1/system-services $out/etc/systemd/system cp -v "dbus/"*service $out/share/dbus-1/system-services sed -e "s@/sbin/wpa_supplicant@$out&@" -i "$out/share/dbus-1/system-services/"* - cp -v dbus/dbus-wpa_supplicant.conf $out/etc/dbus-1/system.d + cp -v dbus/dbus-wpa_supplicant.conf $out/share/dbus-1/system.d cp -v "systemd/"*.service $out/etc/systemd/system + rm $out/share/man/man8/wpa_priv.8 install -Dm444 wpa_supplicant.conf $out/share/doc/wpa_supplicant/wpa_supplicant.conf.example ''; diff --git a/nixpkgs/pkgs/os-specific/linux/zfs/default.nix b/nixpkgs/pkgs/os-specific/linux/zfs/default.nix index 985faa51951..ae72aad6c07 100644 --- a/nixpkgs/pkgs/os-specific/linux/zfs/default.nix +++ b/nixpkgs/pkgs/os-specific/linux/zfs/default.nix @@ -7,6 +7,7 @@ , libtirpc , nfs-utils , gawk, gnugrep, gnused, systemd +, smartmontools, sysstat, sudo # Kernel dependencies , kernel ? null @@ -131,6 +132,13 @@ let (cd $out/share/bash-completion/completions; ln -s zfs zpool) ''; + postFixup = '' + path="PATH=${makeBinPath [ coreutils gawk gnused gnugrep utillinux smartmontools sysstat sudo ]}" + for i in $out/libexec/zfs/zpool.d/*; do + sed -i "2i$path" $i + done + ''; + outputs = [ "out" ] ++ optionals buildUser [ "lib" "dev" ]; meta = { diff --git a/nixpkgs/pkgs/servers/clickhouse/default.nix b/nixpkgs/pkgs/servers/clickhouse/default.nix index 6418bd917f1..f07ab98bbc4 100644 --- a/nixpkgs/pkgs/servers/clickhouse/default.nix +++ b/nixpkgs/pkgs/servers/clickhouse/default.nix @@ -1,7 +1,8 @@ -{ stdenv, fetchFromGitHub, cmake, libtool -, boost, capnproto, cctz, clang-unwrapped, double-conversion, gperftools, icu -, libcpuid, libxml2, lld, llvm, lz4 , libmysqlclient, openssl, poco, re2, rdkafka -, readline, sparsehash, unixODBC, zstd, ninja, jemalloc, brotli, protobuf, xxHash +{ stdenv, fetchFromGitHub, cmake, libtool, ninja +, boost, brotli, capnproto, cctz, clang-unwrapped, double-conversion, gperftools +, icu, jemalloc, libcpuid, libxml2, lld, llvm, lz4, libmysqlclient, openssl +, poco, protobuf, rapidjson, re2, rdkafka, readline, sparsehash, unixODBC +, xxHash, zstd }: stdenv.mkDerivation rec { @@ -17,9 +18,10 @@ stdenv.mkDerivation rec { nativeBuildInputs = [ cmake libtool ninja ]; buildInputs = [ - boost capnproto cctz clang-unwrapped double-conversion gperftools icu - libcpuid libxml2 lld llvm lz4 libmysqlclient openssl poco re2 rdkafka - readline sparsehash unixODBC zstd jemalloc brotli protobuf xxHash + boost brotli capnproto cctz clang-unwrapped double-conversion gperftools + icu jemalloc libcpuid libxml2 lld llvm lz4 libmysqlclient openssl + poco protobuf rapidjson re2 rdkafka readline sparsehash unixODBC + xxHash zstd ]; cmakeFlags = [ diff --git a/nixpkgs/pkgs/servers/dns/bind/default.nix b/nixpkgs/pkgs/servers/dns/bind/default.nix index 31e1ac50942..0f551f489a6 100644 --- a/nixpkgs/pkgs/servers/dns/bind/default.nix +++ b/nixpkgs/pkgs/servers/dns/bind/default.nix @@ -30,8 +30,6 @@ stdenv.mkDerivation rec { ++ lib.optional enableSeccomp libseccomp ++ lib.optional enablePython (python3.withPackages (ps: with ps; [ ply ])); - STD_CDEFINES = [ "-DDIG_SIGCHASE=1" ]; # support +sigchase - depsBuildBuild = [ buildPackages.stdenv.cc ]; configureFlags = [ @@ -65,6 +63,7 @@ stdenv.mkDerivation rec { moveToOutput bin/host $host moveToOutput bin/dig $dnsutils + moveToOutput bin/delv $dnsutils moveToOutput bin/nslookup $dnsutils moveToOutput bin/nsupdate $dnsutils diff --git a/nixpkgs/pkgs/servers/dns/knot-dns/default.nix b/nixpkgs/pkgs/servers/dns/knot-dns/default.nix index ad1bb26a54d..6e87ac5052c 100644 --- a/nixpkgs/pkgs/servers/dns/knot-dns/default.nix +++ b/nixpkgs/pkgs/servers/dns/knot-dns/default.nix @@ -7,11 +7,11 @@ let inherit (stdenv.lib) optional optionals; in # Note: ATM only the libraries have been tested in nixpkgs. stdenv.mkDerivation rec { pname = "knot-dns"; - version = "2.8.4"; + version = "2.9.0"; src = fetchurl { url = "https://secure.nic.cz/files/knot-dns/knot-${version}.tar.xz"; - sha256 = "541e7e43503765c91405c5797b3838103bb656154712e69b3f959c6ab0e700a9"; + sha256 = "df7434eaefbabbf7cca2d6cba5038be48a4668e508215ca197532bac7c9b21a2"; }; outputs = [ "bin" "out" "dev" ]; diff --git a/nixpkgs/pkgs/servers/documize-community/default.nix b/nixpkgs/pkgs/servers/documize-community/default.nix index af969c9e929..213566826f3 100644 --- a/nixpkgs/pkgs/servers/documize-community/default.nix +++ b/nixpkgs/pkgs/servers/documize-community/default.nix @@ -2,13 +2,13 @@ buildGoPackage rec { pname = "documize-community"; - version = "3.3.1"; + version = "3.3.2"; src = fetchFromGitHub { owner = "documize"; repo = "community"; rev = "v${version}"; - sha256 = "1n7cdi76yfdk79ky7six72jg2px0b4hb9s16nshz3qvss469dn2j"; + sha256 = "172h3v9absfc0p79a1v9m197x4aprryig0hhyq6bfhjyqd5nq0fd"; }; goPackagePath = "github.com/documize/community"; diff --git a/nixpkgs/pkgs/servers/home-assistant/component-packages.nix b/nixpkgs/pkgs/servers/home-assistant/component-packages.nix index 9ea2aa7ae8e..f28a5d01b33 100644 --- a/nixpkgs/pkgs/servers/home-assistant/component-packages.nix +++ b/nixpkgs/pkgs/servers/home-assistant/component-packages.nix @@ -807,7 +807,7 @@ "venstar" = ps: with ps; [ ]; "vera" = ps: with ps; [ ]; "verisure" = ps: with ps; [ ]; - "version" = ps: with ps; [ ]; + "version" = ps: with ps; [ pyhaversion ]; "vesync" = ps: with ps; [ ]; "viaggiatreno" = ps: with ps; [ ]; "vicare" = ps: with ps; [ ]; diff --git a/nixpkgs/pkgs/servers/http/tengine/default.nix b/nixpkgs/pkgs/servers/http/tengine/default.nix index fac90fd0df6..379a5c242f7 100644 --- a/nixpkgs/pkgs/servers/http/tengine/default.nix +++ b/nixpkgs/pkgs/servers/http/tengine/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchurl, openssl, zlib, pcre, libxml2, libxslt +{ stdenv, fetchFromGitHub, openssl, zlib, pcre, libxml2, libxslt , gd, geoip, gperftools, jemalloc , withDebug ? false , withMail ? false @@ -10,12 +10,14 @@ with stdenv.lib; stdenv.mkDerivation rec { - version = "2.3.1"; + version = "2.3.2"; pname = "tengine"; - src = fetchurl { - url = "https://github.com/alibaba/tengine/archive/${version}.tar.gz"; - sha256 = "075blm2d62a0bdixc3vngrxpgr7ngl1s4y8hm20pbvc07f0kzn9x"; + src = fetchFromGitHub { + owner = "alibaba"; + repo = pname; + rev = version; + sha256 = "04xfnbc0qlk8vi6bb8sl38nxnx9naxh550xsgrb4hql6jdi0wv7l"; }; buildInputs = @@ -84,6 +86,7 @@ stdenv.mkDerivation rec { NIX_CFLAGS_COMPILE = [ "-I${libxml2.dev}/include/libxml2" + "-Wno-error=implicit-fallthrough" ] ++ optional stdenv.isDarwin "-Wno-error=deprecated-declarations"; preConfigure = (concatMapStringsSep "\n" (mod: mod.preConfigure or "") modules); @@ -102,6 +105,5 @@ stdenv.mkDerivation rec { license = licenses.bsd2; platforms = platforms.all; maintainers = with maintainers; [ izorkin ]; - broken = true; }; } diff --git a/nixpkgs/pkgs/servers/irc/atheme/default.nix b/nixpkgs/pkgs/servers/irc/atheme/default.nix new file mode 100644 index 00000000000..d7aa0610240 --- /dev/null +++ b/nixpkgs/pkgs/servers/irc/atheme/default.nix @@ -0,0 +1,33 @@ +{ stdenv, fetchgit, libmowgli, pkgconfig, git, gettext, pcre, libidn, cracklib, openssl }: + +stdenv.mkDerivation rec { + pname = "atheme"; + version = "7.2.10-r2"; + + src = fetchgit { + url = "https://github.com/atheme/atheme.git"; + rev = "v${version}"; + sha256 = "1yasfvbmixj4zzfv449hlcp0ms5c250lrshdy6x6l643nbnix4y9"; + leaveDotGit = true; + }; + + nativeBuildInputs = [ pkgconfig git gettext ]; + buildInputs = [ libmowgli pcre libidn cracklib openssl ]; + + configureFlags = [ + "--with-pcre" + "--with-libidn" + "--with-cracklib" + "--enable-large-net" + "--enable-contrib" + "--enable-reproducible-builds" + ]; + + meta = with stdenv.lib; { + description = "A set of services for IRC networks"; + homepage = https://atheme.github.io/; + license = licenses.isc; + platforms = platforms.unix; + maintainers = with maintainers; [ leo60228 ]; + }; +} diff --git a/nixpkgs/pkgs/servers/matrix-synapse/default.nix b/nixpkgs/pkgs/servers/matrix-synapse/default.nix index ab9a69afdcb..58a5c66d3b0 100644 --- a/nixpkgs/pkgs/servers/matrix-synapse/default.nix +++ b/nixpkgs/pkgs/servers/matrix-synapse/default.nix @@ -23,11 +23,11 @@ let in buildPythonApplication rec { pname = "matrix-synapse"; - version = "1.3.1"; + version = "1.4.0"; src = fetchPypi { inherit pname version; - sha256 = "1nz9bhy5hraa1h7100vr0innz8npnpha6xr9j2ln7h3cgwv73739"; + sha256 = "1y8yhzsf2lk2d7v4l61rpy4918c0qz276j79q88l9yazb6gw5pkk"; }; patches = [ @@ -83,6 +83,6 @@ in buildPythonApplication rec { homepage = https://matrix.org; description = "Matrix reference homeserver"; license = licenses.asl20; - maintainers = with maintainers; [ ralith roblabla ekleog pacien ]; + maintainers = with maintainers; [ ralith roblabla ekleog pacien ma27 ]; }; } diff --git a/nixpkgs/pkgs/servers/matrix-synapse/matrix-appservice-slack/default.nix b/nixpkgs/pkgs/servers/matrix-synapse/matrix-appservice-slack/default.nix new file mode 100644 index 00000000000..f4b89981d69 --- /dev/null +++ b/nixpkgs/pkgs/servers/matrix-synapse/matrix-appservice-slack/default.nix @@ -0,0 +1,25 @@ +{ pkgs, nodejs, stdenv, lib, ... }: + +let + + packageName = with lib; concatStrings (map (entry: (concatStrings (mapAttrsToList (key: value: "${key}-${value}") entry))) (importJSON ./package.json)); + + nodePackages = import ./node-composition.nix { + inherit pkgs nodejs; + inherit (stdenv.hostPlatform) system; + }; +in +nodePackages."${packageName}".override { + nativeBuildInputs = [ pkgs.makeWrapper ]; + + postInstall = '' + makeWrapper '${nodejs}/bin/node' "$out/bin/matrix-appservice-slack" \ + --add-flags "$out/lib/node_modules/matrix-appservice-slack/lib/app.js" + ''; + + meta = with lib; { + description = "A Matrix <--> Slack bridge"; + maintainers = with maintainers; [ kampka ]; + license = licenses.asl20; + }; +} diff --git a/nixpkgs/pkgs/servers/matrix-synapse/matrix-appservice-slack/generate-dependencies.sh b/nixpkgs/pkgs/servers/matrix-synapse/matrix-appservice-slack/generate-dependencies.sh new file mode 100644 index 00000000000..bf93cae5bc2 --- /dev/null +++ b/nixpkgs/pkgs/servers/matrix-synapse/matrix-appservice-slack/generate-dependencies.sh @@ -0,0 +1,11 @@ + +#!/usr/bin/env nix-shell +#! nix-shell -i bash -p nodePackages.node2nix + +node2nix \ + --nodejs-10 \ + --node-env ../../../development/node-packages/node-env.nix \ + --development \ + --input package.json \ + --output node-packages.nix \ + --composition node-composition.nix diff --git a/nixpkgs/pkgs/servers/matrix-synapse/matrix-appservice-slack/node-composition.nix b/nixpkgs/pkgs/servers/matrix-synapse/matrix-appservice-slack/node-composition.nix new file mode 100644 index 00000000000..8fe405e1b3e --- /dev/null +++ b/nixpkgs/pkgs/servers/matrix-synapse/matrix-appservice-slack/node-composition.nix @@ -0,0 +1,17 @@ +# This file has been generated by node2nix 1.7.0. Do not edit! + +{pkgs ? import <nixpkgs> { + inherit system; + }, system ? builtins.currentSystem, nodejs ? pkgs."nodejs-10_x"}: + +let + nodeEnv = import ../../../development/node-packages/node-env.nix { + inherit (pkgs) stdenv python2 utillinux runCommand writeTextFile; + inherit nodejs; + libtool = if pkgs.stdenv.isDarwin then pkgs.darwin.cctools else null; + }; +in +import ./node-packages.nix { + inherit (pkgs) fetchurl fetchgit; + inherit nodeEnv; +}
\ No newline at end of file diff --git a/nixpkgs/pkgs/servers/matrix-synapse/matrix-appservice-slack/node-packages.nix b/nixpkgs/pkgs/servers/matrix-synapse/matrix-appservice-slack/node-packages.nix new file mode 100644 index 00000000000..6cbcd4d38f7 --- /dev/null +++ b/nixpkgs/pkgs/servers/matrix-synapse/matrix-appservice-slack/node-packages.nix @@ -0,0 +1,3678 @@ +# This file has been generated by node2nix 1.7.0. Do not edit! + +{nodeEnv, fetchurl, fetchgit, globalBuildInputs ? []}: + +let + sources = { + "@babel/code-frame-7.5.5" = { + name = "_at_babel_slash_code-frame"; + packageName = "@babel/code-frame"; + version = "7.5.5"; + src = fetchurl { + url = "https://registry.npmjs.org/@babel/code-frame/-/code-frame-7.5.5.tgz"; + sha512 = "27d4lZoomVyo51VegxI20xZPuSHusqbQag/ztrBC7wegWoQ1nLREPVSKSW8byhTlzTKyNE4ifaTA6lCp7JjpFw=="; + }; + }; + "@babel/highlight-7.5.0" = { + name = "_at_babel_slash_highlight"; + packageName = "@babel/highlight"; + version = "7.5.0"; + src = fetchurl { + url = "https://registry.npmjs.org/@babel/highlight/-/highlight-7.5.0.tgz"; + sha512 = "7dV4eu9gBxoM0dAnj/BCFDW9LFU0zvTrkq0ugM7pnHEgguOEeOz1so2ZghEdzviYzQEED0r4EAgpsBChKy1TRQ=="; + }; + }; + "@slack/logger-1.1.0" = { + name = "_at_slack_slash_logger"; + packageName = "@slack/logger"; + version = "1.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/@slack/logger/-/logger-1.1.0.tgz"; + sha512 = "D3tabyLoUrsFy0w3koxaCVv+5ZJfIy+j0QW3PUq0XO3UiVuF5rtpAbqngAYVpeKnxPpqBjeth4XJ3tllKoW3aA=="; + }; + }; + "@slack/rtm-api-5.0.3" = { + name = "_at_slack_slash_rtm-api"; + packageName = "@slack/rtm-api"; + version = "5.0.3"; + src = fetchurl { + url = "https://registry.npmjs.org/@slack/rtm-api/-/rtm-api-5.0.3.tgz"; + sha512 = "rzNIFst8iuVYyHdE7e3KSrbAtIA7sfS4Pth9ObKUm5KDemX0zyI7YfAijO1kgr1EMriQkjlpKBhlNq9Y+aQr6g=="; + }; + }; + "@slack/types-1.2.1" = { + name = "_at_slack_slash_types"; + packageName = "@slack/types"; + version = "1.2.1"; + src = fetchurl { + url = "https://registry.npmjs.org/@slack/types/-/types-1.2.1.tgz"; + sha512 = "NyGh7MibW+a0OHkwwOPlv63hC639dzQdkFy4dj0tl4sAdZR4OBaE/OhXixKhCzcT3kJsPXaQmvkUN7sqSf52iA=="; + }; + }; + "@slack/web-api-5.2.1" = { + name = "_at_slack_slash_web-api"; + packageName = "@slack/web-api"; + version = "5.2.1"; + src = fetchurl { + url = "https://registry.npmjs.org/@slack/web-api/-/web-api-5.2.1.tgz"; + sha512 = "YobhBNMwEFZvfvOIBNU+GNyOqUa/S1rdiWliESYAq9ZcUXGblgEYIL3sxKwuNQckBjCgSYzexT3+nX5dSepwJg=="; + }; + }; + "@types/body-parser-1.17.1" = { + name = "_at_types_slash_body-parser"; + packageName = "@types/body-parser"; + version = "1.17.1"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/body-parser/-/body-parser-1.17.1.tgz"; + sha512 = "RoX2EZjMiFMjZh9lmYrwgoP9RTpAjSHiJxdp4oidAQVO02T7HER3xj9UKue5534ULWeqVEkujhWcyvUce+d68w=="; + }; + }; + "@types/caseless-0.12.2" = { + name = "_at_types_slash_caseless"; + packageName = "@types/caseless"; + version = "0.12.2"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/caseless/-/caseless-0.12.2.tgz"; + sha512 = "6ckxMjBBD8URvjB6J3NcnuAn5Pkl7t3TizAg+xdlzzQGSPSmBcXf8KoIH0ua/i+tio+ZRUHEXp0HEmvaR4kt0w=="; + }; + }; + "@types/chai-4.2.3" = { + name = "_at_types_slash_chai"; + packageName = "@types/chai"; + version = "4.2.3"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/chai/-/chai-4.2.3.tgz"; + sha512 = "VRw2xEGbll3ZiTQ4J02/hUjNqZoue1bMhoo2dgM2LXjDdyaq4q80HgBDHwpI0/VKlo4Eg+BavyQMv/NYgTetzA=="; + }; + }; + "@types/connect-3.4.32" = { + name = "_at_types_slash_connect"; + packageName = "@types/connect"; + version = "3.4.32"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/connect/-/connect-3.4.32.tgz"; + sha512 = "4r8qa0quOvh7lGD0pre62CAb1oni1OO6ecJLGCezTmhQ8Fz50Arx9RUszryR8KlgK6avuSXvviL6yWyViQABOg=="; + }; + }; + "@types/events-3.0.0" = { + name = "_at_types_slash_events"; + packageName = "@types/events"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/events/-/events-3.0.0.tgz"; + sha512 = "EaObqwIvayI5a8dCzhFrjKzVwKLxjoG9T6Ppd5CEo07LRKfQ8Yokw54r5+Wq7FaBQ+yXRvQAYPrHwya1/UFt9g=="; + }; + }; + "@types/express-4.17.1" = { + name = "_at_types_slash_express"; + packageName = "@types/express"; + version = "4.17.1"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/express/-/express-4.17.1.tgz"; + sha512 = "VfH/XCP0QbQk5B5puLqTLEeFgR8lfCJHZJKkInZ9mkYd+u8byX0kztXEQxEk4wZXJs8HI+7km2ALXjn4YKcX9w=="; + }; + }; + "@types/express-serve-static-core-4.16.9" = { + name = "_at_types_slash_express-serve-static-core"; + packageName = "@types/express-serve-static-core"; + version = "4.16.9"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/express-serve-static-core/-/express-serve-static-core-4.16.9.tgz"; + sha512 = "GqpaVWR0DM8FnRUJYKlWgyARoBUAVfRIeVDZQKOttLFp5SmhhF9YFIYeTPwMd/AXfxlP7xVO2dj1fGu0Q+krKQ=="; + }; + }; + "@types/is-stream-1.1.0" = { + name = "_at_types_slash_is-stream"; + packageName = "@types/is-stream"; + version = "1.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/is-stream/-/is-stream-1.1.0.tgz"; + sha512 = "jkZatu4QVbR60mpIzjINmtS1ZF4a/FqdTUTBeQDVOQ2PYyidtwFKr0B5G6ERukKwliq+7mIXvxyppwzG5EgRYg=="; + }; + }; + "@types/mime-2.0.1" = { + name = "_at_types_slash_mime"; + packageName = "@types/mime"; + version = "2.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/mime/-/mime-2.0.1.tgz"; + sha512 = "FwI9gX75FgVBJ7ywgnq/P7tw+/o1GUbtP0KzbtusLigAOgIgNISRK0ZPl4qertvXSIE8YbsVJueQ90cDt9YYyw=="; + }; + }; + "@types/mocha-5.2.7" = { + name = "_at_types_slash_mocha"; + packageName = "@types/mocha"; + version = "5.2.7"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/mocha/-/mocha-5.2.7.tgz"; + sha512 = "NYrtPht0wGzhwe9+/idPaBB+TqkY9AhTvOLMkThm0IoEfLaiVQZwBwyJ5puCkO3AUCWrmcoePjp2mbFocKy4SQ=="; + }; + }; + "@types/nedb-1.8.9" = { + name = "_at_types_slash_nedb"; + packageName = "@types/nedb"; + version = "1.8.9"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/nedb/-/nedb-1.8.9.tgz"; + sha512 = "w9Tl3DQCkdT0Ghes+PKhe+3/pZppBXuFFpSCjPJbb2KE3DjYmUpEyCYzjrAYlT9Y1TndnbbnChzkax2h/JorVQ=="; + }; + }; + "@types/node-12.7.11" = { + name = "_at_types_slash_node"; + packageName = "@types/node"; + version = "12.7.11"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/node/-/node-12.7.11.tgz"; + sha512 = "Otxmr2rrZLKRYIybtdG/sgeO+tHY20GxeDjcGmUnmmlCWyEnv2a2x1ZXBo3BTec4OiTXMQCiazB8NMBf0iRlFw=="; + }; + }; + "@types/node-emoji-1.8.1" = { + name = "_at_types_slash_node-emoji"; + packageName = "@types/node-emoji"; + version = "1.8.1"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/node-emoji/-/node-emoji-1.8.1.tgz"; + sha512 = "0fRfA90FWm6KJfw6P9QGyo0HDTCmthZ7cWaBQndITlaWLTZ6njRyKwrwpzpg+n6kBXBIGKeUHEQuBx7bphGJkA=="; + }; + }; + "@types/p-queue-2.3.2" = { + name = "_at_types_slash_p-queue"; + packageName = "@types/p-queue"; + version = "2.3.2"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/p-queue/-/p-queue-2.3.2.tgz"; + sha512 = "eKAv5Ql6k78dh3ULCsSBxX6bFNuGjTmof5Q/T6PiECDq0Yf8IIn46jCyp3RJvCi8owaEmm3DZH1PEImjBMd/vQ=="; + }; + }; + "@types/randomstring-1.1.6" = { + name = "_at_types_slash_randomstring"; + packageName = "@types/randomstring"; + version = "1.1.6"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/randomstring/-/randomstring-1.1.6.tgz"; + sha512 = "XRIZIMTxjcUukqQcYBdpFWGbcRDyNBXrvTEtTYgFMIbBNUVt+9mCKsU+jUUDLeFO/RXopUgR5OLiBqbY18vSHQ=="; + }; + }; + "@types/range-parser-1.2.3" = { + name = "_at_types_slash_range-parser"; + packageName = "@types/range-parser"; + version = "1.2.3"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/range-parser/-/range-parser-1.2.3.tgz"; + sha512 = "ewFXqrQHlFsgc09MK5jP5iR7vumV/BYayNC6PgJO2LPe8vrnNFyjQjSppfEngITi0qvfKtzFvgKymGheFM9UOA=="; + }; + }; + "@types/request-2.48.3" = { + name = "_at_types_slash_request"; + packageName = "@types/request"; + version = "2.48.3"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/request/-/request-2.48.3.tgz"; + sha512 = "3Wo2jNYwqgXcIz/rrq18AdOZUQB8cQ34CXZo+LUwPJNpvRAL86+Kc2wwI8mqpz9Cr1V+enIox5v+WZhy/p3h8w=="; + }; + }; + "@types/request-promise-native-1.0.17" = { + name = "_at_types_slash_request-promise-native"; + packageName = "@types/request-promise-native"; + version = "1.0.17"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/request-promise-native/-/request-promise-native-1.0.17.tgz"; + sha512 = "05/d0WbmuwjtGMYEdHIBZ0tqMJJQ2AD9LG2F6rKNBGX1SSFR27XveajH//2N/XYtual8T9Axwl+4v7oBtPUZqg=="; + }; + }; + "@types/retry-0.12.0" = { + name = "_at_types_slash_retry"; + packageName = "@types/retry"; + version = "0.12.0"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/retry/-/retry-0.12.0.tgz"; + sha512 = "wWKOClTTiizcZhXnPY4wikVAwmdYHp8q6DmC+EJUzAMsycb7HB32Kh9RN4+0gExjmPmZSAQjgURXIGATPegAvA=="; + }; + }; + "@types/serve-static-1.13.3" = { + name = "_at_types_slash_serve-static"; + packageName = "@types/serve-static"; + version = "1.13.3"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/serve-static/-/serve-static-1.13.3.tgz"; + sha512 = "oprSwp094zOglVrXdlo/4bAHtKTAxX6VT8FOZlBKrmyLbNvE1zxZyJ6yikMVtHIvwP45+ZQGJn+FdXGKTozq0g=="; + }; + }; + "@types/tough-cookie-2.3.5" = { + name = "_at_types_slash_tough-cookie"; + packageName = "@types/tough-cookie"; + version = "2.3.5"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/tough-cookie/-/tough-cookie-2.3.5.tgz"; + sha512 = "SCcK7mvGi3+ZNz833RRjFIxrn4gI1PPR3NtuIS+6vMkvmsGjosqTJwRt5bAEFLRz+wtJMWv8+uOnZf2hi2QXTg=="; + }; + }; + "@types/uuid-3.4.5" = { + name = "_at_types_slash_uuid"; + packageName = "@types/uuid"; + version = "3.4.5"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/uuid/-/uuid-3.4.5.tgz"; + sha512 = "MNL15wC3EKyw1VLF+RoVO4hJJdk9t/Hlv3rt1OL65Qvuadm4BYo6g9ZJQqoq7X8NBFSsQXgAujWciovh2lpVjA=="; + }; + }; + "@types/ws-5.1.2" = { + name = "_at_types_slash_ws"; + packageName = "@types/ws"; + version = "5.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/ws/-/ws-5.1.2.tgz"; + sha512 = "NkTXUKTYdXdnPE2aUUbGOXE1XfMK527SCvU/9bj86kyFF6kZ9ZnOQ3mK5jADn98Y2vEUD/7wKDgZa7Qst2wYOg=="; + }; + }; + "@types/yargs-13.0.3" = { + name = "_at_types_slash_yargs"; + packageName = "@types/yargs"; + version = "13.0.3"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/yargs/-/yargs-13.0.3.tgz"; + sha512 = "K8/LfZq2duW33XW/tFwEAfnZlqIfVsoyRB3kfXdPXYhl0nfM8mmh7GS0jg7WrX2Dgq/0Ha/pR1PaR+BvmWwjiQ=="; + }; + }; + "@types/yargs-parser-13.1.0" = { + name = "_at_types_slash_yargs-parser"; + packageName = "@types/yargs-parser"; + version = "13.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/@types/yargs-parser/-/yargs-parser-13.1.0.tgz"; + sha512 = "gCubfBUZ6KxzoibJ+SCUc/57Ms1jz5NjHe4+dI2krNmU5zCPAphyLJYyTOg06ueIyfj+SaCUqmzun7ImlxDcKg=="; + }; + }; + "Slackdown-git://github.com/Half-Shot/slackdown#efd8934a3d9c3bf0064c0b217c5cf6b62ee697e4" = { + name = "Slackdown"; + packageName = "Slackdown"; + version = "0.1.2"; + src = fetchgit { + url = "git://github.com/Half-Shot/slackdown"; + rev = "efd8934a3d9c3bf0064c0b217c5cf6b62ee697e4"; + sha256 = "474e972819b3e1db3af70be75966a3d501c6b9285f4550ff5548193e031eaf9b"; + }; + }; + "abbrev-1.1.1" = { + name = "abbrev"; + packageName = "abbrev"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/abbrev/-/abbrev-1.1.1.tgz"; + sha512 = "nne9/IiQ/hzIhY6pdDnbBtz7DjPTKrY00P/zvPSm5pOFkl6xuGrGnXn/VtTNNfNtAfZ9/1RtehkszU9qcTii0Q=="; + }; + }; + "accepts-1.3.7" = { + name = "accepts"; + packageName = "accepts"; + version = "1.3.7"; + src = fetchurl { + url = "https://registry.npmjs.org/accepts/-/accepts-1.3.7.tgz"; + sha512 = "Il80Qs2WjYlJIBNzNkK6KYqlVMTbZLXgHx2oT0pU/fjRHyEp+PEfEPY0R3WCwAGVOtauxh1hOxNgIf5bv7dQpA=="; + }; + }; + "ajv-6.10.2" = { + name = "ajv"; + packageName = "ajv"; + version = "6.10.2"; + src = fetchurl { + url = "https://registry.npmjs.org/ajv/-/ajv-6.10.2.tgz"; + sha512 = "TXtUUEYHuaTEbLZWIKUr5pmBuhDLy+8KYtPYdcV8qC+pOZL+NKqYwvWSRrVXHn+ZmRRAu8vJTAznH7Oag6RVRw=="; + }; + }; + "another-json-0.2.0" = { + name = "another-json"; + packageName = "another-json"; + version = "0.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/another-json/-/another-json-0.2.0.tgz"; + sha1 = "b5f4019c973b6dd5c6506a2d93469cb6d32aeedc"; + }; + }; + "ansi-colors-3.2.3" = { + name = "ansi-colors"; + packageName = "ansi-colors"; + version = "3.2.3"; + src = fetchurl { + url = "https://registry.npmjs.org/ansi-colors/-/ansi-colors-3.2.3.tgz"; + sha512 = "LEHHyuhlPY3TmuUYMh2oz89lTShfvgbmzaBcxve9t/9Wuy7Dwf4yoAKcND7KFT1HAQfqZ12qtc+DUrBMeKF9nw=="; + }; + }; + "ansi-regex-3.0.0" = { + name = "ansi-regex"; + packageName = "ansi-regex"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/ansi-regex/-/ansi-regex-3.0.0.tgz"; + sha1 = "ed0317c322064f79466c02966bddb605ab37d998"; + }; + }; + "ansi-regex-4.1.0" = { + name = "ansi-regex"; + packageName = "ansi-regex"; + version = "4.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/ansi-regex/-/ansi-regex-4.1.0.tgz"; + sha512 = "1apePfXM1UOSqw0o9IiFAovVz9M5S1Dg+4TrDwfMewQ6p/rmMueb7tWZjQ1rx4Loy1ArBggoqGpfqqdI4rondg=="; + }; + }; + "ansi-styles-3.2.1" = { + name = "ansi-styles"; + packageName = "ansi-styles"; + version = "3.2.1"; + src = fetchurl { + url = "https://registry.npmjs.org/ansi-styles/-/ansi-styles-3.2.1.tgz"; + sha512 = "VT0ZI6kZRdTh8YyJw3SMbYm/u+NqfsAxEpWO0Pf9sq8/e94WxxOpPKx9FR1FlyCtOVDNOQ+8ntlqFxiRc+r5qA=="; + }; + }; + "arg-4.1.1" = { + name = "arg"; + packageName = "arg"; + version = "4.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/arg/-/arg-4.1.1.tgz"; + sha512 = "SlmP3fEA88MBv0PypnXZ8ZfJhwmDeIE3SP71j37AiXQBXYosPV0x6uISAaHYSlSVhmHOVkomen0tbGk6Anlebw=="; + }; + }; + "argparse-1.0.10" = { + name = "argparse"; + packageName = "argparse"; + version = "1.0.10"; + src = fetchurl { + url = "https://registry.npmjs.org/argparse/-/argparse-1.0.10.tgz"; + sha512 = "o5Roy6tNG4SL/FOkCAN6RzjiakZS25RLYFrcMttJqbdd8BWrnA+fGz57iN5Pb06pvBGvl5gQ0B48dJlslXvoTg=="; + }; + }; + "array-flatten-1.1.1" = { + name = "array-flatten"; + packageName = "array-flatten"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/array-flatten/-/array-flatten-1.1.1.tgz"; + sha1 = "9a5f699051b1e7073328f2a008968b64ea2955d2"; + }; + }; + "array-uniq-1.0.2" = { + name = "array-uniq"; + packageName = "array-uniq"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/array-uniq/-/array-uniq-1.0.2.tgz"; + sha1 = "5fcc373920775723cfd64d65c64bef53bf9eba6d"; + }; + }; + "asn1-0.2.4" = { + name = "asn1"; + packageName = "asn1"; + version = "0.2.4"; + src = fetchurl { + url = "https://registry.npmjs.org/asn1/-/asn1-0.2.4.tgz"; + sha512 = "jxwzQpLQjSmWXgwaCZE9Nz+glAG01yF1QnWgbhGwHI5A6FRIEY6IVqtHhIepHqI7/kyEyQEagBC5mBEFlIYvdg=="; + }; + }; + "assert-options-0.6.0" = { + name = "assert-options"; + packageName = "assert-options"; + version = "0.6.0"; + src = fetchurl { + url = "https://registry.npmjs.org/assert-options/-/assert-options-0.6.0.tgz"; + sha512 = "xmBFb5sY0AO8SNihIfavR6uMhOyzq6D7RoFKJxxAditMQc876szBBQ9RQVwLi6Bm3zUoG0nexZK11Gy5TBX69A=="; + }; + }; + "assert-plus-1.0.0" = { + name = "assert-plus"; + packageName = "assert-plus"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/assert-plus/-/assert-plus-1.0.0.tgz"; + sha1 = "f12e0f3c5d77b0b1cdd9146942e4e96c1e4dd525"; + }; + }; + "assertion-error-1.1.0" = { + name = "assertion-error"; + packageName = "assertion-error"; + version = "1.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/assertion-error/-/assertion-error-1.1.0.tgz"; + sha512 = "jgsaNduz+ndvGyFt3uSuWqvy4lCnIJiovtouQN5JZHOKCS2QuhEdbcQHFhVksz2N2U9hXJo8odG7ETyWlEeuDw=="; + }; + }; + "async-0.2.10" = { + name = "async"; + packageName = "async"; + version = "0.2.10"; + src = fetchurl { + url = "https://registry.npmjs.org/async/-/async-0.2.10.tgz"; + sha1 = "b6bbe0b0674b9d719708ca38de8c237cb526c3d1"; + }; + }; + "async-2.6.3" = { + name = "async"; + packageName = "async"; + version = "2.6.3"; + src = fetchurl { + url = "https://registry.npmjs.org/async/-/async-2.6.3.tgz"; + sha512 = "zflvls11DCy+dQWzTW2dzuilv8Z5X/pjfmZOWba6TNIVDm+2UDaJmXSOXlasHKfNBs8oo3M0aT50fDEWfKZjXg=="; + }; + }; + "async-limiter-1.0.1" = { + name = "async-limiter"; + packageName = "async-limiter"; + version = "1.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/async-limiter/-/async-limiter-1.0.1.tgz"; + sha512 = "csOlWGAcRFJaI6m+F2WKdnMKr4HhdhFVBk0H/QbJFMCr+uO2kwohwXQPxw/9OCxp05r5ghVBFSyioixx3gfkNQ=="; + }; + }; + "asynckit-0.4.0" = { + name = "asynckit"; + packageName = "asynckit"; + version = "0.4.0"; + src = fetchurl { + url = "https://registry.npmjs.org/asynckit/-/asynckit-0.4.0.tgz"; + sha1 = "c79ed97f7f34cb8f2ba1bc9790bcc366474b4b79"; + }; + }; + "aws-sign2-0.7.0" = { + name = "aws-sign2"; + packageName = "aws-sign2"; + version = "0.7.0"; + src = fetchurl { + url = "https://registry.npmjs.org/aws-sign2/-/aws-sign2-0.7.0.tgz"; + sha1 = "b46e890934a9591f2d2f6f86d7e6a9f1b3fe76a8"; + }; + }; + "aws4-1.8.0" = { + name = "aws4"; + packageName = "aws4"; + version = "1.8.0"; + src = fetchurl { + url = "https://registry.npmjs.org/aws4/-/aws4-1.8.0.tgz"; + sha512 = "ReZxvNHIOv88FlT7rxcXIIC0fPt4KZqZbOlivyWtXLt8ESx84zd3kMC6iK5jVeS2qt+g7ftS7ye4fi06X5rtRQ=="; + }; + }; + "axios-0.18.1" = { + name = "axios"; + packageName = "axios"; + version = "0.18.1"; + src = fetchurl { + url = "https://registry.npmjs.org/axios/-/axios-0.18.1.tgz"; + sha512 = "0BfJq4NSfQXd+SkFdrvFbG7addhYSBA2mQwISr46pD6E5iqkWg02RAs8vyTT/j0RTnoYmeXauBuSv1qKwR179g=="; + }; + }; + "babel-runtime-6.26.0" = { + name = "babel-runtime"; + packageName = "babel-runtime"; + version = "6.26.0"; + src = fetchurl { + url = "https://registry.npmjs.org/babel-runtime/-/babel-runtime-6.26.0.tgz"; + sha1 = "965c7058668e82b55d7bfe04ff2337bc8b5647fe"; + }; + }; + "balanced-match-1.0.0" = { + name = "balanced-match"; + packageName = "balanced-match"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/balanced-match/-/balanced-match-1.0.0.tgz"; + sha1 = "89b4d199ab2bee49de164ea02b89ce462d71b767"; + }; + }; + "base-x-3.0.7" = { + name = "base-x"; + packageName = "base-x"; + version = "3.0.7"; + src = fetchurl { + url = "https://registry.npmjs.org/base-x/-/base-x-3.0.7.tgz"; + sha512 = "zAKJGuQPihXW22fkrfOclUUZXM2g92z5GzlSMHxhO6r6Qj+Nm0ccaGNBzDZojzwOMkpjAv4J0fOv1U4go+a4iw=="; + }; + }; + "basic-auth-2.0.1" = { + name = "basic-auth"; + packageName = "basic-auth"; + version = "2.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/basic-auth/-/basic-auth-2.0.1.tgz"; + sha512 = "NF+epuEdnUYVlGuhaxbbq+dvJttwLnGY+YixlXlME5KpQ5W3CnXA5cVTneY3SPbPDRkcjMbifrwmFYcClgOZeg=="; + }; + }; + "bcrypt-pbkdf-1.0.2" = { + name = "bcrypt-pbkdf"; + packageName = "bcrypt-pbkdf"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/bcrypt-pbkdf/-/bcrypt-pbkdf-1.0.2.tgz"; + sha1 = "a4301d389b6a43f9b67ff3ca11a3f6637e360e9e"; + }; + }; + "binary-search-tree-0.2.5" = { + name = "binary-search-tree"; + packageName = "binary-search-tree"; + version = "0.2.5"; + src = fetchurl { + url = "https://registry.npmjs.org/binary-search-tree/-/binary-search-tree-0.2.5.tgz"; + sha1 = "7dbb3b210fdca082450dad2334c304af39bdc784"; + }; + }; + "bintrees-1.0.1" = { + name = "bintrees"; + packageName = "bintrees"; + version = "1.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/bintrees/-/bintrees-1.0.1.tgz"; + sha1 = "0e655c9b9c2435eaab68bf4027226d2b55a34524"; + }; + }; + "bluebird-2.11.0" = { + name = "bluebird"; + packageName = "bluebird"; + version = "2.11.0"; + src = fetchurl { + url = "https://registry.npmjs.org/bluebird/-/bluebird-2.11.0.tgz"; + sha1 = "534b9033c022c9579c56ba3b3e5a5caafbb650e1"; + }; + }; + "bluebird-3.7.0" = { + name = "bluebird"; + packageName = "bluebird"; + version = "3.7.0"; + src = fetchurl { + url = "https://registry.npmjs.org/bluebird/-/bluebird-3.7.0.tgz"; + sha512 = "aBQ1FxIa7kSWCcmKHlcHFlT2jt6J/l4FzC7KcPELkOJOsPOb/bccdhmIrKDfXhwFrmc7vDoDrrepFvGqjyXGJg=="; + }; + }; + "body-parser-1.19.0" = { + name = "body-parser"; + packageName = "body-parser"; + version = "1.19.0"; + src = fetchurl { + url = "https://registry.npmjs.org/body-parser/-/body-parser-1.19.0.tgz"; + sha512 = "dhEPs72UPbDnAQJ9ZKMNTP6ptJaionhP5cBb541nXPlW60Jepo9RV/a4fX4XWW9CuFNK22krhrj1+rgzifNCsw=="; + }; + }; + "brace-expansion-1.1.11" = { + name = "brace-expansion"; + packageName = "brace-expansion"; + version = "1.1.11"; + src = fetchurl { + url = "https://registry.npmjs.org/brace-expansion/-/brace-expansion-1.1.11.tgz"; + sha512 = "iCuPHDFgrHX7H2vEI/5xpz07zSHB00TpugqhmYtVmMO6518mCuRMoOYFldEBl0g187ufozdaHgWKcYFb61qGiA=="; + }; + }; + "browser-request-0.3.3" = { + name = "browser-request"; + packageName = "browser-request"; + version = "0.3.3"; + src = fetchurl { + url = "https://registry.npmjs.org/browser-request/-/browser-request-0.3.3.tgz"; + sha1 = "9ece5b5aca89a29932242e18bf933def9876cc17"; + }; + }; + "browser-stdout-1.3.1" = { + name = "browser-stdout"; + packageName = "browser-stdout"; + version = "1.3.1"; + src = fetchurl { + url = "https://registry.npmjs.org/browser-stdout/-/browser-stdout-1.3.1.tgz"; + sha512 = "qhAVI1+Av2X7qelOfAIYwXONood6XlZE/fXaBSmW/T5SzLAmCgzi+eiWE7fUvbHaeNBQH13UftjpXxsfLkMpgw=="; + }; + }; + "bs58-4.0.1" = { + name = "bs58"; + packageName = "bs58"; + version = "4.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/bs58/-/bs58-4.0.1.tgz"; + sha1 = "be161e76c354f6f788ae4071f63f34e8c4f0a42a"; + }; + }; + "buffer-from-1.1.1" = { + name = "buffer-from"; + packageName = "buffer-from"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/buffer-from/-/buffer-from-1.1.1.tgz"; + sha512 = "MQcXEUbCKtEo7bhqEs6560Hyd4XaovZlO/k9V3hjVUF/zwW7KBVdSK4gIt/bzwS9MbR5qob+F5jusZsb0YQK2A=="; + }; + }; + "buffer-writer-2.0.0" = { + name = "buffer-writer"; + packageName = "buffer-writer"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/buffer-writer/-/buffer-writer-2.0.0.tgz"; + sha512 = "a7ZpuTZU1TRtnwyCNW3I5dc0wWNC3VR9S++Ewyk2HHZdrO3CQJqSpd+95Us590V6AL7JqUAH2IwZ/398PmNFgw=="; + }; + }; + "builtin-modules-1.1.1" = { + name = "builtin-modules"; + packageName = "builtin-modules"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/builtin-modules/-/builtin-modules-1.1.1.tgz"; + sha1 = "270f076c5a72c02f5b65a47df94c5fe3a278892f"; + }; + }; + "bytes-3.1.0" = { + name = "bytes"; + packageName = "bytes"; + version = "3.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/bytes/-/bytes-3.1.0.tgz"; + sha512 = "zauLjrfCG+xvoyaqLoV8bLVXXNGC4JqlxFCutSDWA6fJrTo2ZuvLYTqZ7aHBLZSMOopbzwv8f+wZcVzfVTI2Dg=="; + }; + }; + "camelcase-5.3.1" = { + name = "camelcase"; + packageName = "camelcase"; + version = "5.3.1"; + src = fetchurl { + url = "https://registry.npmjs.org/camelcase/-/camelcase-5.3.1.tgz"; + sha512 = "L28STB170nwWS63UjtlEOE3dldQApaJXZkOI1uMFfzf3rRuPegHaHesyee+YxQ+W6SvRDQV6UrdOdRiR153wJg=="; + }; + }; + "caseless-0.12.0" = { + name = "caseless"; + packageName = "caseless"; + version = "0.12.0"; + src = fetchurl { + url = "https://registry.npmjs.org/caseless/-/caseless-0.12.0.tgz"; + sha1 = "1b681c21ff84033c826543090689420d187151dc"; + }; + }; + "chai-4.2.0" = { + name = "chai"; + packageName = "chai"; + version = "4.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/chai/-/chai-4.2.0.tgz"; + sha512 = "XQU3bhBukrOsQCuwZndwGcCVQHyZi53fQ6Ys1Fym7E4olpIqqZZhhoFJoaKVvV17lWQoXYwgWN2nF5crA8J2jw=="; + }; + }; + "chalk-2.4.2" = { + name = "chalk"; + packageName = "chalk"; + version = "2.4.2"; + src = fetchurl { + url = "https://registry.npmjs.org/chalk/-/chalk-2.4.2.tgz"; + sha512 = "Mti+f9lpJNcwF4tWV8/OrTTtF1gZi+f8FqlyAdouralcFWFQWF2+NgCHShjkCb+IFBLq9buZwE1xckQU4peSuQ=="; + }; + }; + "check-error-1.0.2" = { + name = "check-error"; + packageName = "check-error"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/check-error/-/check-error-1.0.2.tgz"; + sha1 = "574d312edd88bb5dd8912e9286dd6c0aed4aac82"; + }; + }; + "cliui-5.0.0" = { + name = "cliui"; + packageName = "cliui"; + version = "5.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/cliui/-/cliui-5.0.0.tgz"; + sha512 = "PYeGSEmmHM6zvoef2w8TPzlrnNpXIjTipYK780YswmIP9vjxmd6Y2a3CB2Ks6/AU8NHjZugXvo8w3oWM2qnwXA=="; + }; + }; + "color-3.0.0" = { + name = "color"; + packageName = "color"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/color/-/color-3.0.0.tgz"; + sha512 = "jCpd5+s0s0t7p3pHQKpnJ0TpQKKdleP71LWcA0aqiljpiuAkOSUFN/dyH8ZwF0hRmFlrIuRhufds1QyEP9EB+w=="; + }; + }; + "color-convert-1.9.3" = { + name = "color-convert"; + packageName = "color-convert"; + version = "1.9.3"; + src = fetchurl { + url = "https://registry.npmjs.org/color-convert/-/color-convert-1.9.3.tgz"; + sha512 = "QfAUtd+vFdAtFQcC8CCyYt1fYWxSqAiK2cSD6zDB8N3cpsEBAvRxp9zOGg6G/SHHJYAT88/az/IuDGALsNVbGg=="; + }; + }; + "color-name-1.1.3" = { + name = "color-name"; + packageName = "color-name"; + version = "1.1.3"; + src = fetchurl { + url = "https://registry.npmjs.org/color-name/-/color-name-1.1.3.tgz"; + sha1 = "a7d0558bd89c42f795dd42328f740831ca53bc25"; + }; + }; + "color-string-1.5.3" = { + name = "color-string"; + packageName = "color-string"; + version = "1.5.3"; + src = fetchurl { + url = "https://registry.npmjs.org/color-string/-/color-string-1.5.3.tgz"; + sha512 = "dC2C5qeWoYkxki5UAXapdjqO672AM4vZuPGRQfO8b5HKuKGBbKWpITyDYN7TOFKvRW7kOgAn3746clDBMDJyQw=="; + }; + }; + "colornames-1.1.1" = { + name = "colornames"; + packageName = "colornames"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/colornames/-/colornames-1.1.1.tgz"; + sha1 = "f8889030685c7c4ff9e2a559f5077eb76a816f96"; + }; + }; + "colors-1.4.0" = { + name = "colors"; + packageName = "colors"; + version = "1.4.0"; + src = fetchurl { + url = "https://registry.npmjs.org/colors/-/colors-1.4.0.tgz"; + sha512 = "a+UqTh4kgZg/SlGvfbzDHpgRu7AAQOmmqRHJnxhRZICKFUT91brVhNNt58CMWU9PsBbv3PDCZUHbVxuDiH2mtA=="; + }; + }; + "colorspace-1.1.2" = { + name = "colorspace"; + packageName = "colorspace"; + version = "1.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/colorspace/-/colorspace-1.1.2.tgz"; + sha512 = "vt+OoIP2d76xLhjwbBaucYlNSpPsrJWPlBTtwCpQKIu6/CSMutyzX93O/Do0qzpH3YoHEes8YEFXyZ797rEhzQ=="; + }; + }; + "combined-stream-1.0.8" = { + name = "combined-stream"; + packageName = "combined-stream"; + version = "1.0.8"; + src = fetchurl { + url = "https://registry.npmjs.org/combined-stream/-/combined-stream-1.0.8.tgz"; + sha512 = "FQN4MRfuJeHf7cBbBMJFXhKSDq+2kAArBlmRBvcvFE5BB1HZKXtSFASDhdlz9zOYwxh8lDdnvmMOe/+5cdoEdg=="; + }; + }; + "commander-2.20.1" = { + name = "commander"; + packageName = "commander"; + version = "2.20.1"; + src = fetchurl { + url = "https://registry.npmjs.org/commander/-/commander-2.20.1.tgz"; + sha512 = "cCuLsMhJeWQ/ZpsFTbE765kvVfoeSddc4nU3up4fV+fDBcfUXnbITJ+JzhkdjzOqhURjZgujxaioam4RM9yGUg=="; + }; + }; + "concat-map-0.0.1" = { + name = "concat-map"; + packageName = "concat-map"; + version = "0.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/concat-map/-/concat-map-0.0.1.tgz"; + sha1 = "d8a96bd77fd68df7793a73036a3ba0d5405d477b"; + }; + }; + "content-disposition-0.5.3" = { + name = "content-disposition"; + packageName = "content-disposition"; + version = "0.5.3"; + src = fetchurl { + url = "https://registry.npmjs.org/content-disposition/-/content-disposition-0.5.3.tgz"; + sha512 = "ExO0774ikEObIAEV9kDo50o+79VCUdEB6n6lzKgGwupcVeRlhrj3qGAfwq8G6uBJjkqLrhT0qEYFcWng8z1z0g=="; + }; + }; + "content-type-1.0.4" = { + name = "content-type"; + packageName = "content-type"; + version = "1.0.4"; + src = fetchurl { + url = "https://registry.npmjs.org/content-type/-/content-type-1.0.4.tgz"; + sha512 = "hIP3EEPs8tB9AT1L+NUqtwOAps4mk2Zob89MWXMHjHWg9milF/j4osnnQLXBCBFBk/tvIG/tUc9mOUJiPBhPXA=="; + }; + }; + "cookie-0.4.0" = { + name = "cookie"; + packageName = "cookie"; + version = "0.4.0"; + src = fetchurl { + url = "https://registry.npmjs.org/cookie/-/cookie-0.4.0.tgz"; + sha512 = "+Hp8fLp57wnUSt0tY0tHEXh4voZRDnoIrZPqlo3DPiI4y9lwg/jqx+1Om94/W6ZaPDOUbnjOt/99w66zk+l1Xg=="; + }; + }; + "cookie-signature-1.0.6" = { + name = "cookie-signature"; + packageName = "cookie-signature"; + version = "1.0.6"; + src = fetchurl { + url = "https://registry.npmjs.org/cookie-signature/-/cookie-signature-1.0.6.tgz"; + sha1 = "e303a882b342cc3ee8ca513a79999734dab3ae2c"; + }; + }; + "core-js-2.6.9" = { + name = "core-js"; + packageName = "core-js"; + version = "2.6.9"; + src = fetchurl { + url = "https://registry.npmjs.org/core-js/-/core-js-2.6.9.tgz"; + sha512 = "HOpZf6eXmnl7la+cUdMnLvUxKNqLUzJvgIziQ0DiF3JwSImNphIqdGqzj6hIKyX04MmV0poclQ7+wjWvxQyR2A=="; + }; + }; + "core-util-is-1.0.2" = { + name = "core-util-is"; + packageName = "core-util-is"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/core-util-is/-/core-util-is-1.0.2.tgz"; + sha1 = "b5fd54220aa2bc5ab57aab7140c940754503c1a7"; + }; + }; + "cycle-1.0.3" = { + name = "cycle"; + packageName = "cycle"; + version = "1.0.3"; + src = fetchurl { + url = "https://registry.npmjs.org/cycle/-/cycle-1.0.3.tgz"; + sha1 = "21e80b2be8580f98b468f379430662b046c34ad2"; + }; + }; + "dashdash-1.14.1" = { + name = "dashdash"; + packageName = "dashdash"; + version = "1.14.1"; + src = fetchurl { + url = "https://registry.npmjs.org/dashdash/-/dashdash-1.14.1.tgz"; + sha1 = "853cfa0f7cbe2fed5de20326b8dd581035f6e2f0"; + }; + }; + "debug-2.6.9" = { + name = "debug"; + packageName = "debug"; + version = "2.6.9"; + src = fetchurl { + url = "https://registry.npmjs.org/debug/-/debug-2.6.9.tgz"; + sha512 = "bC7ElrdJaJnPbAP+1EotYvqZsb3ecl5wi6Bfi6BJTUcNowp6cvspg0jXznRTKDjm/E7AdgFBVeAPVMNcKGsHMA=="; + }; + }; + "debug-3.1.0" = { + name = "debug"; + packageName = "debug"; + version = "3.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/debug/-/debug-3.1.0.tgz"; + sha512 = "OX8XqP7/1a9cqkxYw2yXss15f26NKWBpDXQd0/uK/KPqdQhxbPa994hnzjcE2VqQpDslf55723cKPUOGSmMY3g=="; + }; + }; + "debug-3.2.6" = { + name = "debug"; + packageName = "debug"; + version = "3.2.6"; + src = fetchurl { + url = "https://registry.npmjs.org/debug/-/debug-3.2.6.tgz"; + sha512 = "mel+jf7nrtEl5Pn1Qx46zARXKDpBbvzezse7p7LqINmdoIk8PYP5SySaxEmYv6TZ0JyEKA1hsCId6DIhgITtWQ=="; + }; + }; + "decamelize-1.2.0" = { + name = "decamelize"; + packageName = "decamelize"; + version = "1.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/decamelize/-/decamelize-1.2.0.tgz"; + sha1 = "f6534d15148269b20352e7bee26f501f9a191290"; + }; + }; + "deep-eql-3.0.1" = { + name = "deep-eql"; + packageName = "deep-eql"; + version = "3.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/deep-eql/-/deep-eql-3.0.1.tgz"; + sha512 = "+QeIQyN5ZuO+3Uk5DYh6/1eKO0m0YmJFGNmFHGACpf1ClL1nmlV/p4gNgbl2pJGxgXb4faqo6UE+M5ACEMyVcw=="; + }; + }; + "define-properties-1.1.3" = { + name = "define-properties"; + packageName = "define-properties"; + version = "1.1.3"; + src = fetchurl { + url = "https://registry.npmjs.org/define-properties/-/define-properties-1.1.3.tgz"; + sha512 = "3MqfYKj2lLzdMSf8ZIZE/V+Zuy+BgD6f164e8K2w7dgnpKArBDerGYpM46IYYcjnkdPNMjPk9A6VFB8+3SKlXQ=="; + }; + }; + "delayed-stream-1.0.0" = { + name = "delayed-stream"; + packageName = "delayed-stream"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/delayed-stream/-/delayed-stream-1.0.0.tgz"; + sha1 = "df3ae199acadfb7d440aaae0b29e2272b24ec619"; + }; + }; + "depd-1.1.2" = { + name = "depd"; + packageName = "depd"; + version = "1.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/depd/-/depd-1.1.2.tgz"; + sha1 = "9bcd52e14c097763e749b274c4346ed2e560b5a9"; + }; + }; + "destroy-1.0.4" = { + name = "destroy"; + packageName = "destroy"; + version = "1.0.4"; + src = fetchurl { + url = "https://registry.npmjs.org/destroy/-/destroy-1.0.4.tgz"; + sha1 = "978857442c44749e4206613e37946205826abd80"; + }; + }; + "diagnostics-1.1.1" = { + name = "diagnostics"; + packageName = "diagnostics"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/diagnostics/-/diagnostics-1.1.1.tgz"; + sha512 = "8wn1PmdunLJ9Tqbx+Fx/ZEuHfJf4NKSN2ZBj7SJC/OWRWha843+WsTjqMe1B5E3p28jqBlp+mJ2fPVxPyNgYKQ=="; + }; + }; + "diff-3.5.0" = { + name = "diff"; + packageName = "diff"; + version = "3.5.0"; + src = fetchurl { + url = "https://registry.npmjs.org/diff/-/diff-3.5.0.tgz"; + sha512 = "A46qtFgd+g7pDZinpnwiRJtxbC1hpgf0uzP3iG89scHk0AUC7A1TGxf5OiiOUv/JMZR8GOt8hL900hV0bOy5xA=="; + }; + }; + "diff-4.0.1" = { + name = "diff"; + packageName = "diff"; + version = "4.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/diff/-/diff-4.0.1.tgz"; + sha512 = "s2+XdvhPCOF01LRQBC8hf4vhbVmI2CGS5aZnxLJlT5FtdhPCDFq80q++zK2KlrVorVDdL5BOGZ/VfLrVtYNF+Q=="; + }; + }; + "ecc-jsbn-0.1.2" = { + name = "ecc-jsbn"; + packageName = "ecc-jsbn"; + version = "0.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/ecc-jsbn/-/ecc-jsbn-0.1.2.tgz"; + sha1 = "3a83a904e54353287874c564b7549386849a98c9"; + }; + }; + "ee-first-1.1.1" = { + name = "ee-first"; + packageName = "ee-first"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/ee-first/-/ee-first-1.1.1.tgz"; + sha1 = "590c61156b0ae2f4f0255732a158b266bc56b21d"; + }; + }; + "emoji-regex-7.0.3" = { + name = "emoji-regex"; + packageName = "emoji-regex"; + version = "7.0.3"; + src = fetchurl { + url = "https://registry.npmjs.org/emoji-regex/-/emoji-regex-7.0.3.tgz"; + sha512 = "CwBLREIQ7LvYFB0WyRvwhq5N5qPhc6PMjD6bYggFlI5YyDgl+0vxq5VHbMOFqLg7hfWzmu8T5Z1QofhmTIhItA=="; + }; + }; + "enabled-1.0.2" = { + name = "enabled"; + packageName = "enabled"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/enabled/-/enabled-1.0.2.tgz"; + sha1 = "965f6513d2c2d1c5f4652b64a2e3396467fc2f93"; + }; + }; + "encodeurl-1.0.2" = { + name = "encodeurl"; + packageName = "encodeurl"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/encodeurl/-/encodeurl-1.0.2.tgz"; + sha1 = "ad3ff4c86ec2d029322f5a02c3a9a606c95b3f59"; + }; + }; + "env-variable-0.0.5" = { + name = "env-variable"; + packageName = "env-variable"; + version = "0.0.5"; + src = fetchurl { + url = "https://registry.npmjs.org/env-variable/-/env-variable-0.0.5.tgz"; + sha512 = "zoB603vQReOFvTg5xMl9I1P2PnHsHQQKTEowsKKD7nseUfJq6UWzK+4YtlWUO1nhiQUxe6XMkk+JleSZD1NZFA=="; + }; + }; + "es-abstract-1.15.0" = { + name = "es-abstract"; + packageName = "es-abstract"; + version = "1.15.0"; + src = fetchurl { + url = "https://registry.npmjs.org/es-abstract/-/es-abstract-1.15.0.tgz"; + sha512 = "bhkEqWJ2t2lMeaJDuk7okMkJWI/yqgH/EoGwpcvv0XW9RWQsRspI4wt6xuyuvMvvQE3gg/D9HXppgk21w78GyQ=="; + }; + }; + "es-to-primitive-1.2.0" = { + name = "es-to-primitive"; + packageName = "es-to-primitive"; + version = "1.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/es-to-primitive/-/es-to-primitive-1.2.0.tgz"; + sha512 = "qZryBOJjV//LaxLTV6UC//WewneB3LcXOL9NP++ozKVXsIIIpm/2c13UDiD9Jp2eThsecw9m3jPqDwTyobcdbg=="; + }; + }; + "escape-html-1.0.3" = { + name = "escape-html"; + packageName = "escape-html"; + version = "1.0.3"; + src = fetchurl { + url = "https://registry.npmjs.org/escape-html/-/escape-html-1.0.3.tgz"; + sha1 = "0258eae4d3d0c0974de1c169188ef0051d1d1988"; + }; + }; + "escape-string-regexp-1.0.5" = { + name = "escape-string-regexp"; + packageName = "escape-string-regexp"; + version = "1.0.5"; + src = fetchurl { + url = "https://registry.npmjs.org/escape-string-regexp/-/escape-string-regexp-1.0.5.tgz"; + sha1 = "1b61c0562190a8dff6ae3bb2cf0200ca130b86d4"; + }; + }; + "escape-string-regexp-2.0.0" = { + name = "escape-string-regexp"; + packageName = "escape-string-regexp"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/escape-string-regexp/-/escape-string-regexp-2.0.0.tgz"; + sha512 = "UpzcLCXolUWcNu5HtVMHYdXJjArjsF9C0aNnquZYY4uW/Vu0miy5YoWvbV345HauVvcAUnpRuhMMcqTcGOY2+w=="; + }; + }; + "esprima-4.0.1" = { + name = "esprima"; + packageName = "esprima"; + version = "4.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/esprima/-/esprima-4.0.1.tgz"; + sha512 = "eGuFFw7Upda+g4p+QHvnW0RyTX/SVeJBDM/gCtMARO0cLuT2HcEKnTPvhjV6aGeqrCB/sbNop0Kszm0jsaWU4A=="; + }; + }; + "esutils-2.0.3" = { + name = "esutils"; + packageName = "esutils"; + version = "2.0.3"; + src = fetchurl { + url = "https://registry.npmjs.org/esutils/-/esutils-2.0.3.tgz"; + sha512 = "kVscqXk4OCp68SZ0dkgEKVi6/8ij300KBWTJq32P/dYeWTSwK41WyTxalN1eRmA5Z9UU/LX9D7FWSmV9SAYx6g=="; + }; + }; + "etag-1.8.1" = { + name = "etag"; + packageName = "etag"; + version = "1.8.1"; + src = fetchurl { + url = "https://registry.npmjs.org/etag/-/etag-1.8.1.tgz"; + sha1 = "41ae2eeb65efa62268aebfea83ac7d79299b0887"; + }; + }; + "eventemitter3-3.1.2" = { + name = "eventemitter3"; + packageName = "eventemitter3"; + version = "3.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/eventemitter3/-/eventemitter3-3.1.2.tgz"; + sha512 = "tvtQIeLVHjDkJYnzf2dgVMxfuSGJeM/7UCG17TT4EumTfNtF+0nebF/4zWOIkCreAbtNqhGEboB6BWrwqNaw4Q=="; + }; + }; + "eventemitter3-4.0.0" = { + name = "eventemitter3"; + packageName = "eventemitter3"; + version = "4.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/eventemitter3/-/eventemitter3-4.0.0.tgz"; + sha512 = "qerSRB0p+UDEssxTtm6EDKcE7W4OaoisfIMl4CngyEhjpYglocpNg6UEqCvemdGhosAsg4sO2dXJOdyBifPGCg=="; + }; + }; + "express-4.17.1" = { + name = "express"; + packageName = "express"; + version = "4.17.1"; + src = fetchurl { + url = "https://registry.npmjs.org/express/-/express-4.17.1.tgz"; + sha512 = "mHJ9O79RqluphRrcw2X/GTh3k9tVv8YcoyY4Kkh4WDMUYKRZUq0h1o0w2rrrxBqM7VoeUVqgb27xlEMXTnYt4g=="; + }; + }; + "extend-3.0.2" = { + name = "extend"; + packageName = "extend"; + version = "3.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/extend/-/extend-3.0.2.tgz"; + sha512 = "fjquC59cD7CyW6urNXK0FBufkZcoiGG80wTuPujX590cB5Ttln20E2UB4S/WARVqhXffZl2LNgS+gQdPIIim/g=="; + }; + }; + "extsprintf-1.3.0" = { + name = "extsprintf"; + packageName = "extsprintf"; + version = "1.3.0"; + src = fetchurl { + url = "https://registry.npmjs.org/extsprintf/-/extsprintf-1.3.0.tgz"; + sha1 = "96918440e3041a7a414f8c52e3c574eb3c3e1e05"; + }; + }; + "fast-deep-equal-2.0.1" = { + name = "fast-deep-equal"; + packageName = "fast-deep-equal"; + version = "2.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/fast-deep-equal/-/fast-deep-equal-2.0.1.tgz"; + sha1 = "7b05218ddf9667bf7f370bf7fdb2cb15fdd0aa49"; + }; + }; + "fast-json-stable-stringify-2.0.0" = { + name = "fast-json-stable-stringify"; + packageName = "fast-json-stable-stringify"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/fast-json-stable-stringify/-/fast-json-stable-stringify-2.0.0.tgz"; + sha1 = "d5142c0caee6b1189f87d3a76111064f86c8bbf2"; + }; + }; + "fast-safe-stringify-2.0.7" = { + name = "fast-safe-stringify"; + packageName = "fast-safe-stringify"; + version = "2.0.7"; + src = fetchurl { + url = "https://registry.npmjs.org/fast-safe-stringify/-/fast-safe-stringify-2.0.7.tgz"; + sha512 = "Utm6CdzT+6xsDk2m8S6uL8VHxNwI6Jub+e9NYTcAms28T84pTa25GJQV9j0CY0N1rM8hK4x6grpF2BQf+2qwVA=="; + }; + }; + "fecha-2.3.3" = { + name = "fecha"; + packageName = "fecha"; + version = "2.3.3"; + src = fetchurl { + url = "https://registry.npmjs.org/fecha/-/fecha-2.3.3.tgz"; + sha512 = "lUGBnIamTAwk4znq5BcqsDaxSmZ9nDVJaij6NvRt/Tg4R69gERA+otPKbS86ROw9nxVMw2/mp1fnaiWqbs6Sdg=="; + }; + }; + "file-stream-rotator-0.4.1" = { + name = "file-stream-rotator"; + packageName = "file-stream-rotator"; + version = "0.4.1"; + src = fetchurl { + url = "https://registry.npmjs.org/file-stream-rotator/-/file-stream-rotator-0.4.1.tgz"; + sha512 = "W3aa3QJEc8BS2MmdVpQiYLKHj3ijpto1gMDlsgCRSKfIUe6MwkcpODGPQ3vZfb0XvCeCqlu9CBQTN7oQri2TZQ=="; + }; + }; + "finalhandler-1.1.2" = { + name = "finalhandler"; + packageName = "finalhandler"; + version = "1.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/finalhandler/-/finalhandler-1.1.2.tgz"; + sha512 = "aAWcW57uxVNrQZqFXjITpW3sIUQmHGG3qSb9mUah9MgMC4NeWhNOlNjXEYq3HjRAvL6arUviZGGJsBg6z0zsWA=="; + }; + }; + "find-up-3.0.0" = { + name = "find-up"; + packageName = "find-up"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/find-up/-/find-up-3.0.0.tgz"; + sha512 = "1yD6RmLI1XBfxugvORwlck6f75tYL+iR0jqwsOrOxMZyGYqUuDhJ0l4AXdO1iX/FTs9cBAMEk1gWSEx1kSbylg=="; + }; + }; + "finity-0.5.4" = { + name = "finity"; + packageName = "finity"; + version = "0.5.4"; + src = fetchurl { + url = "https://registry.npmjs.org/finity/-/finity-0.5.4.tgz"; + sha512 = "3l+5/1tuw616Lgb0QBimxfdd2TqaDGpfCBpfX6EqtFmqUV3FtQnVEX4Aa62DagYEqnsTIjZcTfbq9msDbXYgyA=="; + }; + }; + "flat-4.1.0" = { + name = "flat"; + packageName = "flat"; + version = "4.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/flat/-/flat-4.1.0.tgz"; + sha512 = "Px/TiLIznH7gEDlPXcUD4KnBusa6kR6ayRUVcnEAbreRIuhkqow/mun59BuRXwoYk7ZQOLW1ZM05ilIvK38hFw=="; + }; + }; + "follow-redirects-1.5.10" = { + name = "follow-redirects"; + packageName = "follow-redirects"; + version = "1.5.10"; + src = fetchurl { + url = "https://registry.npmjs.org/follow-redirects/-/follow-redirects-1.5.10.tgz"; + sha512 = "0V5l4Cizzvqt5D44aTXbFZz+FtyXV1vrDN6qrelxtfYQKW0KO0W2T/hkE8xvGa/540LkZlkaUjO4ailYTFtHVQ=="; + }; + }; + "forever-agent-0.6.1" = { + name = "forever-agent"; + packageName = "forever-agent"; + version = "0.6.1"; + src = fetchurl { + url = "https://registry.npmjs.org/forever-agent/-/forever-agent-0.6.1.tgz"; + sha1 = "fbc71f0c41adeb37f96c577ad1ed42d8fdacca91"; + }; + }; + "form-data-2.3.3" = { + name = "form-data"; + packageName = "form-data"; + version = "2.3.3"; + src = fetchurl { + url = "https://registry.npmjs.org/form-data/-/form-data-2.3.3.tgz"; + sha512 = "1lLKB2Mu3aGP1Q/2eCOx0fNbRMe7XdwktwOruhfqqd0rIJWwN4Dh+E3hrPSlDCXnSR7UtZ1N38rVXm+6+MEhJQ=="; + }; + }; + "form-data-2.5.1" = { + name = "form-data"; + packageName = "form-data"; + version = "2.5.1"; + src = fetchurl { + url = "https://registry.npmjs.org/form-data/-/form-data-2.5.1.tgz"; + sha512 = "m21N3WOmEEURgk6B9GLOE4RuWOFf28Lhh9qGYeNlGq4VDXUlJy2th2slBNU8Gp8EzloYZOibZJ7t5ecIrFSjVA=="; + }; + }; + "forwarded-0.1.2" = { + name = "forwarded"; + packageName = "forwarded"; + version = "0.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/forwarded/-/forwarded-0.1.2.tgz"; + sha1 = "98c23dab1175657b8c0573e8ceccd91b0ff18c84"; + }; + }; + "fresh-0.5.2" = { + name = "fresh"; + packageName = "fresh"; + version = "0.5.2"; + src = fetchurl { + url = "https://registry.npmjs.org/fresh/-/fresh-0.5.2.tgz"; + sha1 = "3d8cadd90d976569fa835ab1f8e4b23a105605a7"; + }; + }; + "fs.realpath-1.0.0" = { + name = "fs.realpath"; + packageName = "fs.realpath"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/fs.realpath/-/fs.realpath-1.0.0.tgz"; + sha1 = "1504ad2523158caa40db4a2787cb01411994ea4f"; + }; + }; + "function-bind-1.1.1" = { + name = "function-bind"; + packageName = "function-bind"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/function-bind/-/function-bind-1.1.1.tgz"; + sha512 = "yIovAzMX49sF8Yl58fSCWJ5svSLuaibPxXQJFLmBObTuCr0Mf1KiPopGM9NiFjiYBCbfaa2Fh6breQ6ANVTI0A=="; + }; + }; + "generate-function-2.3.1" = { + name = "generate-function"; + packageName = "generate-function"; + version = "2.3.1"; + src = fetchurl { + url = "https://registry.npmjs.org/generate-function/-/generate-function-2.3.1.tgz"; + sha512 = "eeB5GfMNeevm/GRYq20ShmsaGcmI81kIX2K9XQx5miC8KdHaC6Jm0qQ8ZNeGOi7wYB8OsdxKs+Y2oVuTFuVwKQ=="; + }; + }; + "generate-object-property-1.2.0" = { + name = "generate-object-property"; + packageName = "generate-object-property"; + version = "1.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/generate-object-property/-/generate-object-property-1.2.0.tgz"; + sha1 = "9c0e1c40308ce804f4783618b937fa88f99d50d0"; + }; + }; + "get-caller-file-2.0.5" = { + name = "get-caller-file"; + packageName = "get-caller-file"; + version = "2.0.5"; + src = fetchurl { + url = "https://registry.npmjs.org/get-caller-file/-/get-caller-file-2.0.5.tgz"; + sha512 = "DyFP3BM/3YHTQOCUL/w0OZHR0lpKeGrxotcHWcqNEdnltqFwXVfhEBQ94eIo34AfQpo0rGki4cyIiftY06h2Fg=="; + }; + }; + "get-func-name-2.0.0" = { + name = "get-func-name"; + packageName = "get-func-name"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/get-func-name/-/get-func-name-2.0.0.tgz"; + sha1 = "ead774abee72e20409433a066366023dd6887a41"; + }; + }; + "getpass-0.1.7" = { + name = "getpass"; + packageName = "getpass"; + version = "0.1.7"; + src = fetchurl { + url = "https://registry.npmjs.org/getpass/-/getpass-0.1.7.tgz"; + sha1 = "5eff8e3e684d569ae4cb2b1282604e8ba62149fa"; + }; + }; + "glob-7.1.3" = { + name = "glob"; + packageName = "glob"; + version = "7.1.3"; + src = fetchurl { + url = "https://registry.npmjs.org/glob/-/glob-7.1.3.tgz"; + sha512 = "vcfuiIxogLV4DlGBHIUOwI0IbrJ8HWPc4MU7HzviGeNho/UJDfi6B5p3sHeWIQ0KGIU0Jpxi5ZHxemQfLkkAwQ=="; + }; + }; + "growl-1.10.5" = { + name = "growl"; + packageName = "growl"; + version = "1.10.5"; + src = fetchurl { + url = "https://registry.npmjs.org/growl/-/growl-1.10.5.tgz"; + sha512 = "qBr4OuELkhPenW6goKVXiv47US3clb3/IbuWF9KNKEijAy9oeHxU9IgzjvJhHkUzhaj7rOUD7+YGWqUjLp5oSA=="; + }; + }; + "har-schema-2.0.0" = { + name = "har-schema"; + packageName = "har-schema"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/har-schema/-/har-schema-2.0.0.tgz"; + sha1 = "a94c2224ebcac04782a0d9035521f24735b7ec92"; + }; + }; + "har-validator-5.1.3" = { + name = "har-validator"; + packageName = "har-validator"; + version = "5.1.3"; + src = fetchurl { + url = "https://registry.npmjs.org/har-validator/-/har-validator-5.1.3.tgz"; + sha512 = "sNvOCzEQNr/qrvJgc3UG/kD4QtlHycrzwS+6mfTrrSq97BvaYcPZZI1ZSqGSPR73Cxn4LKTD4PttRwfU7jWq5g=="; + }; + }; + "has-1.0.3" = { + name = "has"; + packageName = "has"; + version = "1.0.3"; + src = fetchurl { + url = "https://registry.npmjs.org/has/-/has-1.0.3.tgz"; + sha512 = "f2dvO0VU6Oej7RkWJGrehjbzMAjFp5/VKPp5tTpWIV4JHHZK1/BxbFRtf/siA2SWTe09caDmVtYYzWEIbBS4zw=="; + }; + }; + "has-flag-3.0.0" = { + name = "has-flag"; + packageName = "has-flag"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/has-flag/-/has-flag-3.0.0.tgz"; + sha1 = "b5d454dc2199ae225699f3467e5a07f3b955bafd"; + }; + }; + "has-symbols-1.0.0" = { + name = "has-symbols"; + packageName = "has-symbols"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/has-symbols/-/has-symbols-1.0.0.tgz"; + sha1 = "ba1a8f1af2a0fc39650f5c850367704122063b44"; + }; + }; + "he-1.2.0" = { + name = "he"; + packageName = "he"; + version = "1.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/he/-/he-1.2.0.tgz"; + sha512 = "F/1DnUGPopORZi0ni+CvrCgHQ5FyEAHRLSApuYWMmrbSwoN2Mn/7k+Gl38gJnR7yyDZk6WLXwiGod1JOWNDKGw=="; + }; + }; + "http-errors-1.7.2" = { + name = "http-errors"; + packageName = "http-errors"; + version = "1.7.2"; + src = fetchurl { + url = "https://registry.npmjs.org/http-errors/-/http-errors-1.7.2.tgz"; + sha512 = "uUQBt3H/cSIVfch6i1EuPNy/YsRSOUBXTVfZ+yR7Zjez3qjBz6i9+i4zjNaoqcoFVI4lQJ5plg63TvGfRSDCRg=="; + }; + }; + "http-signature-1.2.0" = { + name = "http-signature"; + packageName = "http-signature"; + version = "1.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/http-signature/-/http-signature-1.2.0.tgz"; + sha1 = "9aecd925114772f3d95b65a60abb8f7c18fbace1"; + }; + }; + "iconv-lite-0.4.24" = { + name = "iconv-lite"; + packageName = "iconv-lite"; + version = "0.4.24"; + src = fetchurl { + url = "https://registry.npmjs.org/iconv-lite/-/iconv-lite-0.4.24.tgz"; + sha512 = "v3MXnZAcvnywkTUEZomIActle7RXXeedOR31wwl7VlyoXO4Qi9arvSenNQWne1TcRwhCL1HwLI21bEqdpj8/rA=="; + }; + }; + "immediate-3.0.6" = { + name = "immediate"; + packageName = "immediate"; + version = "3.0.6"; + src = fetchurl { + url = "https://registry.npmjs.org/immediate/-/immediate-3.0.6.tgz"; + sha1 = "9db1dbd0faf8de6fbe0f5dd5e56bb606280de69b"; + }; + }; + "inflight-1.0.6" = { + name = "inflight"; + packageName = "inflight"; + version = "1.0.6"; + src = fetchurl { + url = "https://registry.npmjs.org/inflight/-/inflight-1.0.6.tgz"; + sha1 = "49bd6331d7d02d0c09bc910a1075ba8165b56df9"; + }; + }; + "inherits-2.0.3" = { + name = "inherits"; + packageName = "inherits"; + version = "2.0.3"; + src = fetchurl { + url = "https://registry.npmjs.org/inherits/-/inherits-2.0.3.tgz"; + sha1 = "633c2c83e3da42a502f52466022480f4208261de"; + }; + }; + "ipaddr.js-1.9.0" = { + name = "ipaddr.js"; + packageName = "ipaddr.js"; + version = "1.9.0"; + src = fetchurl { + url = "https://registry.npmjs.org/ipaddr.js/-/ipaddr.js-1.9.0.tgz"; + sha512 = "M4Sjn6N/+O6/IXSJseKqHoFc+5FdGJ22sXqnjTpdZweHK64MzEPAyQZyEU3R/KRv2GLoa7nNtg/C2Ev6m7z+eA=="; + }; + }; + "is-arrayish-0.3.2" = { + name = "is-arrayish"; + packageName = "is-arrayish"; + version = "0.3.2"; + src = fetchurl { + url = "https://registry.npmjs.org/is-arrayish/-/is-arrayish-0.3.2.tgz"; + sha512 = "eVRqCvVlZbuw3GrM63ovNSNAeA1K16kaR/LRY/92w0zxQ5/1YzwblUX652i4Xs9RwAGjW9d9y6X88t8OaAJfWQ=="; + }; + }; + "is-buffer-2.0.4" = { + name = "is-buffer"; + packageName = "is-buffer"; + version = "2.0.4"; + src = fetchurl { + url = "https://registry.npmjs.org/is-buffer/-/is-buffer-2.0.4.tgz"; + sha512 = "Kq1rokWXOPXWuaMAqZiJW4XxsmD9zGx9q4aePabbn3qCRGedtH7Cm+zV8WETitMfu1wdh+Rvd6w5egwSngUX2A=="; + }; + }; + "is-callable-1.1.4" = { + name = "is-callable"; + packageName = "is-callable"; + version = "1.1.4"; + src = fetchurl { + url = "https://registry.npmjs.org/is-callable/-/is-callable-1.1.4.tgz"; + sha512 = "r5p9sxJjYnArLjObpjA4xu5EKI3CuKHkJXMhT7kwbpUyIFD1n5PMAsoPvWnvtZiNz7LjkYDRZhd7FlI0eMijEA=="; + }; + }; + "is-date-object-1.0.1" = { + name = "is-date-object"; + packageName = "is-date-object"; + version = "1.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/is-date-object/-/is-date-object-1.0.1.tgz"; + sha1 = "9aa20eb6aeebbff77fbd33e74ca01b33581d3a16"; + }; + }; + "is-fullwidth-code-point-2.0.0" = { + name = "is-fullwidth-code-point"; + packageName = "is-fullwidth-code-point"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/is-fullwidth-code-point/-/is-fullwidth-code-point-2.0.0.tgz"; + sha1 = "a3b30a5c4f199183167aaab93beefae3ddfb654f"; + }; + }; + "is-my-ip-valid-1.0.0" = { + name = "is-my-ip-valid"; + packageName = "is-my-ip-valid"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/is-my-ip-valid/-/is-my-ip-valid-1.0.0.tgz"; + sha512 = "gmh/eWXROncUzRnIa1Ubrt5b8ep/MGSnfAUI3aRp+sqTCs1tv1Isl8d8F6JmkN3dXKc3ehZMrtiPN9eL03NuaQ=="; + }; + }; + "is-my-json-valid-2.20.0" = { + name = "is-my-json-valid"; + packageName = "is-my-json-valid"; + version = "2.20.0"; + src = fetchurl { + url = "https://registry.npmjs.org/is-my-json-valid/-/is-my-json-valid-2.20.0.tgz"; + sha512 = "XTHBZSIIxNsIsZXg7XB5l8z/OBFosl1Wao4tXLpeC7eKU4Vm/kdop2azkPqULwnfGQjmeDIyey9g7afMMtdWAA=="; + }; + }; + "is-property-1.0.2" = { + name = "is-property"; + packageName = "is-property"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/is-property/-/is-property-1.0.2.tgz"; + sha1 = "57fe1c4e48474edd65b09911f26b1cd4095dda84"; + }; + }; + "is-regex-1.0.4" = { + name = "is-regex"; + packageName = "is-regex"; + version = "1.0.4"; + src = fetchurl { + url = "https://registry.npmjs.org/is-regex/-/is-regex-1.0.4.tgz"; + sha1 = "5517489b547091b0930e095654ced25ee97e9491"; + }; + }; + "is-stream-1.1.0" = { + name = "is-stream"; + packageName = "is-stream"; + version = "1.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/is-stream/-/is-stream-1.1.0.tgz"; + sha1 = "12d4a3dd4e68e0b79ceb8dbc84173ae80d91ca44"; + }; + }; + "is-symbol-1.0.2" = { + name = "is-symbol"; + packageName = "is-symbol"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/is-symbol/-/is-symbol-1.0.2.tgz"; + sha512 = "HS8bZ9ox60yCJLH9snBpIwv9pYUAkcuLhSA1oero1UB5y9aiQpRA8y2ex945AOtCZL1lJDeIk3G5LthswI46Lw=="; + }; + }; + "is-typedarray-1.0.0" = { + name = "is-typedarray"; + packageName = "is-typedarray"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/is-typedarray/-/is-typedarray-1.0.0.tgz"; + sha1 = "e479c80858df0c1b11ddda6940f96011fcda4a9a"; + }; + }; + "isarray-1.0.0" = { + name = "isarray"; + packageName = "isarray"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/isarray/-/isarray-1.0.0.tgz"; + sha1 = "bb935d48582cba168c06834957a54a3e07124f11"; + }; + }; + "isexe-2.0.0" = { + name = "isexe"; + packageName = "isexe"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/isexe/-/isexe-2.0.0.tgz"; + sha1 = "e8fbf374dc556ff8947a10dcb0572d633f2cfa10"; + }; + }; + "isstream-0.1.2" = { + name = "isstream"; + packageName = "isstream"; + version = "0.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/isstream/-/isstream-0.1.2.tgz"; + sha1 = "47e63f7af55afa6f92e1500e690eb8b8529c099a"; + }; + }; + "js-tokens-4.0.0" = { + name = "js-tokens"; + packageName = "js-tokens"; + version = "4.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/js-tokens/-/js-tokens-4.0.0.tgz"; + sha512 = "RdJUflcE3cUzKiMqQgsCu06FPu9UdIJO0beYbPhHN4k6apgJtifcoCtT9bcxOpYBtpD2kCM6Sbzg4CausW/PKQ=="; + }; + }; + "js-yaml-3.13.1" = { + name = "js-yaml"; + packageName = "js-yaml"; + version = "3.13.1"; + src = fetchurl { + url = "https://registry.npmjs.org/js-yaml/-/js-yaml-3.13.1.tgz"; + sha512 = "YfbcO7jXDdyj0DGxYVSlSeQNHbD7XPWvrVWeVUujrQEoZzWJIRrCPoyk6kL6IAjAG2IolMK4T0hNUe0HOUs5Jw=="; + }; + }; + "jsbn-0.1.1" = { + name = "jsbn"; + packageName = "jsbn"; + version = "0.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/jsbn/-/jsbn-0.1.1.tgz"; + sha1 = "a5e654c2e5a2deb5f201d96cefbca80c0ef2f513"; + }; + }; + "json-schema-0.2.3" = { + name = "json-schema"; + packageName = "json-schema"; + version = "0.2.3"; + src = fetchurl { + url = "https://registry.npmjs.org/json-schema/-/json-schema-0.2.3.tgz"; + sha1 = "b480c892e59a2f05954ce727bd3f2a4e882f9e13"; + }; + }; + "json-schema-traverse-0.4.1" = { + name = "json-schema-traverse"; + packageName = "json-schema-traverse"; + version = "0.4.1"; + src = fetchurl { + url = "https://registry.npmjs.org/json-schema-traverse/-/json-schema-traverse-0.4.1.tgz"; + sha512 = "xbbCH5dCYU5T8LcEhhuh7HJ88HXuW3qsI3Y0zOZFKfZEHcpWiHU/Jxzk629Brsab/mMiHQti9wMP+845RPe3Vg=="; + }; + }; + "json-stringify-safe-5.0.1" = { + name = "json-stringify-safe"; + packageName = "json-stringify-safe"; + version = "5.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/json-stringify-safe/-/json-stringify-safe-5.0.1.tgz"; + sha1 = "1296a2d58fd45f19a0f6ce01d65701e2c735b6eb"; + }; + }; + "jsonpointer-4.0.1" = { + name = "jsonpointer"; + packageName = "jsonpointer"; + version = "4.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/jsonpointer/-/jsonpointer-4.0.1.tgz"; + sha1 = "4fd92cb34e0e9db3c89c8622ecf51f9b978c6cb9"; + }; + }; + "jsprim-1.4.1" = { + name = "jsprim"; + packageName = "jsprim"; + version = "1.4.1"; + src = fetchurl { + url = "https://registry.npmjs.org/jsprim/-/jsprim-1.4.1.tgz"; + sha1 = "313e66bc1e5cc06e438bc1b7499c2e5c56acb6a2"; + }; + }; + "kuler-1.0.1" = { + name = "kuler"; + packageName = "kuler"; + version = "1.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/kuler/-/kuler-1.0.1.tgz"; + sha512 = "J9nVUucG1p/skKul6DU3PUZrhs0LPulNaeUOox0IyXDi8S4CztTHs1gQphhuZmzXG7VOQSf6NJfKuzteQLv9gQ=="; + }; + }; + "lie-3.1.1" = { + name = "lie"; + packageName = "lie"; + version = "3.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/lie/-/lie-3.1.1.tgz"; + sha1 = "9a436b2cc7746ca59de7a41fa469b3efb76bd87e"; + }; + }; + "localforage-1.7.3" = { + name = "localforage"; + packageName = "localforage"; + version = "1.7.3"; + src = fetchurl { + url = "https://registry.npmjs.org/localforage/-/localforage-1.7.3.tgz"; + sha512 = "1TulyYfc4udS7ECSBT2vwJksWbkwwTX8BzeUIiq8Y07Riy7bDAAnxDaPU/tWyOVmQAcWJIEIFP9lPfBGqVoPgQ=="; + }; + }; + "locate-path-3.0.0" = { + name = "locate-path"; + packageName = "locate-path"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/locate-path/-/locate-path-3.0.0.tgz"; + sha512 = "7AO748wWnIhNqAuaty2ZWHkQHRSNfPVIsPIfwEOWO22AmaoVrWavlOcMR5nzTLNYvp36X220/maaRsrec1G65A=="; + }; + }; + "lodash-4.17.15" = { + name = "lodash"; + packageName = "lodash"; + version = "4.17.15"; + src = fetchurl { + url = "https://registry.npmjs.org/lodash/-/lodash-4.17.15.tgz"; + sha512 = "8xOcRHvCjnocdS5cpwXQXVzmmh5e5+saE2QGoeQmbKmRS6J3VQppPOIt0MnmE+4xlZoumy0GPG0D0MVIQbNA1A=="; + }; + }; + "lodash.toarray-4.4.0" = { + name = "lodash.toarray"; + packageName = "lodash.toarray"; + version = "4.4.0"; + src = fetchurl { + url = "https://registry.npmjs.org/lodash.toarray/-/lodash.toarray-4.4.0.tgz"; + sha1 = "24c4bfcd6b2fba38bfd0594db1179d8e9b656561"; + }; + }; + "log-symbols-2.2.0" = { + name = "log-symbols"; + packageName = "log-symbols"; + version = "2.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/log-symbols/-/log-symbols-2.2.0.tgz"; + sha512 = "VeIAFslyIerEJLXHziedo2basKbMKtTw3vfn5IzG0XTjhAVEJyNHnL2p7vc+wBDSdQuUpNw3M2u6xb9QsAY5Eg=="; + }; + }; + "logform-1.10.0" = { + name = "logform"; + packageName = "logform"; + version = "1.10.0"; + src = fetchurl { + url = "https://registry.npmjs.org/logform/-/logform-1.10.0.tgz"; + sha512 = "em5ojIhU18fIMOw/333mD+ZLE2fis0EzXl1ZwHx4iQzmpQi6odNiY/t+ITNr33JZhT9/KEaH+UPIipr6a9EjWg=="; + }; + }; + "logform-2.1.2" = { + name = "logform"; + packageName = "logform"; + version = "2.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/logform/-/logform-2.1.2.tgz"; + sha512 = "+lZh4OpERDBLqjiwDLpAWNQu6KMjnlXH2ByZwCuSqVPJletw0kTWJf5CgSNAUKn1KUkv3m2cUz/LK8zyEy7wzQ=="; + }; + }; + "loglevel-1.6.1" = { + name = "loglevel"; + packageName = "loglevel"; + version = "1.6.1"; + src = fetchurl { + url = "https://registry.npmjs.org/loglevel/-/loglevel-1.6.1.tgz"; + sha1 = "e0fc95133b6ef276cdc8887cdaf24aa6f156f8fa"; + }; + }; + "make-error-1.3.5" = { + name = "make-error"; + packageName = "make-error"; + version = "1.3.5"; + src = fetchurl { + url = "https://registry.npmjs.org/make-error/-/make-error-1.3.5.tgz"; + sha512 = "c3sIjNUow0+8swNwVpqoH4YCShKNFkMaw6oH1mNS2haDZQqkeZFlHS3dhoeEbKKmJB4vXpJucU6oH75aDYeE9g=="; + }; + }; + "manakin-0.5.2" = { + name = "manakin"; + packageName = "manakin"; + version = "0.5.2"; + src = fetchurl { + url = "https://registry.npmjs.org/manakin/-/manakin-0.5.2.tgz"; + sha512 = "pfDSB7QYoVg0Io4KMV9hhPoXpj6p0uBscgtyUSKCOFZe8bqgbpStfgnKIbF/ulnr6U3ICu4OqdyxAqBgOhZwBQ=="; + }; + }; + "matrix-appservice-0.3.5" = { + name = "matrix-appservice"; + packageName = "matrix-appservice"; + version = "0.3.5"; + src = fetchurl { + url = "https://registry.npmjs.org/matrix-appservice/-/matrix-appservice-0.3.5.tgz"; + sha512 = "oQcxlpERcUj90QbGjV7t5Ly5/Aze/sUwB9ZrIt1UMFwuNT+CgEzA7cxLDHAiJkXfgoNzFvjVnKJ3203oIuLONQ=="; + }; + }; + "matrix-appservice-bridge-1.10.3" = { + name = "matrix-appservice-bridge"; + packageName = "matrix-appservice-bridge"; + version = "1.10.3"; + src = fetchurl { + url = "https://registry.npmjs.org/matrix-appservice-bridge/-/matrix-appservice-bridge-1.10.3.tgz"; + sha512 = "PqAExCsokZOAnY/d2uqTmB7sCVNKDSHZ9R1V8hDNr6rtMUmO3jIuuKNuwFudeOGL2iYcqzB+s8tCz7yh9a3dew=="; + }; + }; + "matrix-js-sdk-2.4.1" = { + name = "matrix-js-sdk"; + packageName = "matrix-js-sdk"; + version = "2.4.1"; + src = fetchurl { + url = "https://registry.npmjs.org/matrix-js-sdk/-/matrix-js-sdk-2.4.1.tgz"; + sha512 = "5mOp396eOtvaMiuUD85TWvuxSP532PuvtH/QLugBGenI15FGwtnC40cTnVYviYWGBi340FPrOKWulc5ILRX6qQ=="; + }; + }; + "media-typer-0.3.0" = { + name = "media-typer"; + packageName = "media-typer"; + version = "0.3.0"; + src = fetchurl { + url = "https://registry.npmjs.org/media-typer/-/media-typer-0.3.0.tgz"; + sha1 = "8710d7af0aa626f8fffa1ce00168545263255748"; + }; + }; + "merge-descriptors-1.0.1" = { + name = "merge-descriptors"; + packageName = "merge-descriptors"; + version = "1.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/merge-descriptors/-/merge-descriptors-1.0.1.tgz"; + sha1 = "b00aaa556dd8b44568150ec9d1b953f3f90cbb61"; + }; + }; + "methods-1.1.2" = { + name = "methods"; + packageName = "methods"; + version = "1.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/methods/-/methods-1.1.2.tgz"; + sha1 = "5529a4d67654134edcc5266656835b0f851afcee"; + }; + }; + "mime-1.6.0" = { + name = "mime"; + packageName = "mime"; + version = "1.6.0"; + src = fetchurl { + url = "https://registry.npmjs.org/mime/-/mime-1.6.0.tgz"; + sha512 = "x0Vn8spI+wuJ1O6S7gnbaQg8Pxh4NNHb7KSINmEWKiPE4RKOplvijn+NkmYmmRgP68mc70j2EbeTFRsrswaQeg=="; + }; + }; + "mime-db-1.40.0" = { + name = "mime-db"; + packageName = "mime-db"; + version = "1.40.0"; + src = fetchurl { + url = "https://registry.npmjs.org/mime-db/-/mime-db-1.40.0.tgz"; + sha512 = "jYdeOMPy9vnxEqFRRo6ZvTZ8d9oPb+k18PKoYNYUe2stVEBPPwsln/qWzdbmaIvnhZ9v2P+CuecK+fpUfsV2mA=="; + }; + }; + "mime-types-2.1.24" = { + name = "mime-types"; + packageName = "mime-types"; + version = "2.1.24"; + src = fetchurl { + url = "https://registry.npmjs.org/mime-types/-/mime-types-2.1.24.tgz"; + sha512 = "WaFHS3MCl5fapm3oLxU4eYDw77IQM2ACcxQ9RIxfaC3ooc6PFuBMGZZsYpvoXS5D5QTWPieo1jjLdAm3TBP3cQ=="; + }; + }; + "minimatch-3.0.4" = { + name = "minimatch"; + packageName = "minimatch"; + version = "3.0.4"; + src = fetchurl { + url = "https://registry.npmjs.org/minimatch/-/minimatch-3.0.4.tgz"; + sha512 = "yJHVQEhyqPLUTgt9B83PXu6W3rx4MvvHvSUvToogpwoGDOUQ+yDrR0HRot+yOCdCO7u4hX3pWft6kWBBcqh0UA=="; + }; + }; + "minimist-0.0.8" = { + name = "minimist"; + packageName = "minimist"; + version = "0.0.8"; + src = fetchurl { + url = "https://registry.npmjs.org/minimist/-/minimist-0.0.8.tgz"; + sha1 = "857fcabfc3397d2625b8228262e86aa7a011b05d"; + }; + }; + "minimist-1.2.0" = { + name = "minimist"; + packageName = "minimist"; + version = "1.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/minimist/-/minimist-1.2.0.tgz"; + sha1 = "a35008b20f41383eec1fb914f4cd5df79a264284"; + }; + }; + "mkdirp-0.5.1" = { + name = "mkdirp"; + packageName = "mkdirp"; + version = "0.5.1"; + src = fetchurl { + url = "https://registry.npmjs.org/mkdirp/-/mkdirp-0.5.1.tgz"; + sha1 = "30057438eac6cf7f8c4767f38648d6697d75c903"; + }; + }; + "mocha-6.2.1" = { + name = "mocha"; + packageName = "mocha"; + version = "6.2.1"; + src = fetchurl { + url = "https://registry.npmjs.org/mocha/-/mocha-6.2.1.tgz"; + sha512 = "VCcWkLHwk79NYQc8cxhkmI8IigTIhsCwZ6RTxQsqK6go4UvEhzJkYuHm8B2YtlSxcYq2fY+ucr4JBwoD6ci80A=="; + }; + }; + "moment-2.24.0" = { + name = "moment"; + packageName = "moment"; + version = "2.24.0"; + src = fetchurl { + url = "https://registry.npmjs.org/moment/-/moment-2.24.0.tgz"; + sha512 = "bV7f+6l2QigeBBZSM/6yTNq4P2fNpSWj/0e7jQcy87A8e7o2nAfP/34/2ky5Vw4B9S446EtIhodAzkFCcR4dQg=="; + }; + }; + "morgan-1.9.1" = { + name = "morgan"; + packageName = "morgan"; + version = "1.9.1"; + src = fetchurl { + url = "https://registry.npmjs.org/morgan/-/morgan-1.9.1.tgz"; + sha512 = "HQStPIV4y3afTiCYVxirakhlCfGkI161c76kKFca7Fk1JusM//Qeo1ej2XaMniiNeaZklMVrh3vTtIzpzwbpmA=="; + }; + }; + "ms-2.0.0" = { + name = "ms"; + packageName = "ms"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/ms/-/ms-2.0.0.tgz"; + sha1 = "5608aeadfc00be6c2901df5f9861788de0d597c8"; + }; + }; + "ms-2.1.1" = { + name = "ms"; + packageName = "ms"; + version = "2.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/ms/-/ms-2.1.1.tgz"; + sha512 = "tgp+dl5cGk28utYktBsrFqA7HKgrhgPsg6Z/EfhWI4gl1Hwq8B/GmY/0oXZ6nF8hDVesS/FpnYaD/kOWhYQvyg=="; + }; + }; + "ms-2.1.2" = { + name = "ms"; + packageName = "ms"; + version = "2.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/ms/-/ms-2.1.2.tgz"; + sha512 = "sGkPx+VjMtmA6MX27oA4FBFELFCZZ4S4XqeGOXCv68tT+jb3vk/RyaKWP0PTKyWtmLSM0b+adUTEvbs1PEaH2w=="; + }; + }; + "nedb-1.8.0" = { + name = "nedb"; + packageName = "nedb"; + version = "1.8.0"; + src = fetchurl { + url = "https://registry.npmjs.org/nedb/-/nedb-1.8.0.tgz"; + sha1 = "0e3502cd82c004d5355a43c9e55577bd7bd91d88"; + }; + }; + "negotiator-0.6.2" = { + name = "negotiator"; + packageName = "negotiator"; + version = "0.6.2"; + src = fetchurl { + url = "https://registry.npmjs.org/negotiator/-/negotiator-0.6.2.tgz"; + sha512 = "hZXc7K2e+PgeI1eDBe/10Ard4ekbfrrqG8Ep+8Jmf4JID2bNg7NvCPOZN+kfF574pFQI7mum2AUqDidoKqcTOw=="; + }; + }; + "node-emoji-1.10.0" = { + name = "node-emoji"; + packageName = "node-emoji"; + version = "1.10.0"; + src = fetchurl { + url = "https://registry.npmjs.org/node-emoji/-/node-emoji-1.10.0.tgz"; + sha512 = "Yt3384If5H6BYGVHiHwTL+99OzJKHhgp82S8/dktEK73T26BazdgZ4JZh92xSVtGNJvz9UbXdNAc5hcrXV42vw=="; + }; + }; + "node-environment-flags-1.0.5" = { + name = "node-environment-flags"; + packageName = "node-environment-flags"; + version = "1.0.5"; + src = fetchurl { + url = "https://registry.npmjs.org/node-environment-flags/-/node-environment-flags-1.0.5.tgz"; + sha512 = "VNYPRfGfmZLx0Ye20jWzHUjyTW/c+6Wq+iLhDzUI4XmhrDd9l/FozXV3F2xOaXjvp0co0+v1YSR3CMP6g+VvLQ=="; + }; + }; + "nopt-3.0.6" = { + name = "nopt"; + packageName = "nopt"; + version = "3.0.6"; + src = fetchurl { + url = "https://registry.npmjs.org/nopt/-/nopt-3.0.6.tgz"; + sha1 = "c6465dbf08abcd4db359317f79ac68a646b28ff9"; + }; + }; + "oauth-sign-0.9.0" = { + name = "oauth-sign"; + packageName = "oauth-sign"; + version = "0.9.0"; + src = fetchurl { + url = "https://registry.npmjs.org/oauth-sign/-/oauth-sign-0.9.0.tgz"; + sha512 = "fexhUFFPTGV8ybAtSIGbV6gOkSv8UtRbDBnAyLQw4QPKkgNlsH2ByPGtMUqdWkos6YCRmAqViwgZrJc/mRDzZQ=="; + }; + }; + "object-hash-1.3.1" = { + name = "object-hash"; + packageName = "object-hash"; + version = "1.3.1"; + src = fetchurl { + url = "https://registry.npmjs.org/object-hash/-/object-hash-1.3.1.tgz"; + sha512 = "OSuu/pU4ENM9kmREg0BdNrUDIl1heYa4mBZacJc+vVWz4GtAwu7jO8s4AIt2aGRUTqxykpWzI3Oqnsm13tTMDA=="; + }; + }; + "object-inspect-1.6.0" = { + name = "object-inspect"; + packageName = "object-inspect"; + version = "1.6.0"; + src = fetchurl { + url = "https://registry.npmjs.org/object-inspect/-/object-inspect-1.6.0.tgz"; + sha512 = "GJzfBZ6DgDAmnuaM3104jR4s1Myxr3Y3zfIyN4z3UdqN69oSRacNK8UhnobDdC+7J2AHCjGwxQubNJfE70SXXQ=="; + }; + }; + "object-keys-1.1.1" = { + name = "object-keys"; + packageName = "object-keys"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/object-keys/-/object-keys-1.1.1.tgz"; + sha512 = "NuAESUOUMrlIXOfHKzD6bpPu3tYt3xvjNdRIQ+FeT0lNb4K8WR70CaDxhuNguS2XG+GjkyMwOzsN5ZktImfhLA=="; + }; + }; + "object.assign-4.1.0" = { + name = "object.assign"; + packageName = "object.assign"; + version = "4.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/object.assign/-/object.assign-4.1.0.tgz"; + sha512 = "exHJeq6kBKj58mqGyTQ9DFvrZC/eR6OwxzoM9YRoGBqrXYonaFyGiFMuc9VZrXf7DarreEwMpurG3dd+CNyW5w=="; + }; + }; + "object.getownpropertydescriptors-2.0.3" = { + name = "object.getownpropertydescriptors"; + packageName = "object.getownpropertydescriptors"; + version = "2.0.3"; + src = fetchurl { + url = "https://registry.npmjs.org/object.getownpropertydescriptors/-/object.getownpropertydescriptors-2.0.3.tgz"; + sha1 = "8758c846f5b407adab0f236e0986f14b051caa16"; + }; + }; + "on-finished-2.3.0" = { + name = "on-finished"; + packageName = "on-finished"; + version = "2.3.0"; + src = fetchurl { + url = "https://registry.npmjs.org/on-finished/-/on-finished-2.3.0.tgz"; + sha1 = "20f1336481b083cd75337992a16971aa2d906947"; + }; + }; + "on-headers-1.0.2" = { + name = "on-headers"; + packageName = "on-headers"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/on-headers/-/on-headers-1.0.2.tgz"; + sha512 = "pZAE+FJLoyITytdqK0U5s+FIpjN0JP3OzFi/u8Rx+EV5/W+JTWGXG8xFzevE7AjBfDqHv/8vL8qQsIhHnqRkrA=="; + }; + }; + "once-1.4.0" = { + name = "once"; + packageName = "once"; + version = "1.4.0"; + src = fetchurl { + url = "https://registry.npmjs.org/once/-/once-1.4.0.tgz"; + sha1 = "583b1aa775961d4b113ac17d9c50baef9dd76bd1"; + }; + }; + "one-time-0.0.4" = { + name = "one-time"; + packageName = "one-time"; + version = "0.0.4"; + src = fetchurl { + url = "https://registry.npmjs.org/one-time/-/one-time-0.0.4.tgz"; + sha1 = "f8cdf77884826fe4dff93e3a9cc37b1e4480742e"; + }; + }; + "p-cancelable-1.1.0" = { + name = "p-cancelable"; + packageName = "p-cancelable"; + version = "1.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/p-cancelable/-/p-cancelable-1.1.0.tgz"; + sha512 = "s73XxOZ4zpt1edZYZzvhqFa6uvQc1vwUa0K0BdtIZgQMAJj9IbebH+JkgKZc9h+B05PKHLOTl4ajG1BmNrVZlw=="; + }; + }; + "p-finally-1.0.0" = { + name = "p-finally"; + packageName = "p-finally"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/p-finally/-/p-finally-1.0.0.tgz"; + sha1 = "3fbcfb15b899a44123b34b6dcc18b724336a2cae"; + }; + }; + "p-limit-2.2.1" = { + name = "p-limit"; + packageName = "p-limit"; + version = "2.2.1"; + src = fetchurl { + url = "https://registry.npmjs.org/p-limit/-/p-limit-2.2.1.tgz"; + sha512 = "85Tk+90UCVWvbDavCLKPOLC9vvY8OwEX/RtKF+/1OADJMVlFfEHOiMTPVyxg7mk/dKa+ipdHm0OUkTvCpMTuwg=="; + }; + }; + "p-locate-3.0.0" = { + name = "p-locate"; + packageName = "p-locate"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/p-locate/-/p-locate-3.0.0.tgz"; + sha512 = "x+12w/To+4GFfgJhBEpiDcLozRJGegY+Ei7/z0tSLkMmxGZNybVMSfWj9aJn8Z5Fc7dBUNJOOVgPv2H7IwulSQ=="; + }; + }; + "p-queue-2.4.2" = { + name = "p-queue"; + packageName = "p-queue"; + version = "2.4.2"; + src = fetchurl { + url = "https://registry.npmjs.org/p-queue/-/p-queue-2.4.2.tgz"; + sha512 = "n8/y+yDJwBjoLQe1GSJbbaYQLTI7QHNZI2+rpmCDbe++WLf9HC3gf6iqj5yfPAV71W4UF3ql5W1+UBPXoXTxng=="; + }; + }; + "p-queue-6.1.1" = { + name = "p-queue"; + packageName = "p-queue"; + version = "6.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/p-queue/-/p-queue-6.1.1.tgz"; + sha512 = "R9gq36Th88xZ+rWAptN5IXLwqkwA1gagCQhT6ZXQ6RxEfmjb9ZW+UBzRVqv9sm5TQmbbI/TsKgGLbOaA61xR5w=="; + }; + }; + "p-retry-4.1.0" = { + name = "p-retry"; + packageName = "p-retry"; + version = "4.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/p-retry/-/p-retry-4.1.0.tgz"; + sha512 = "oepllyG9gX1qH4Sm20YAKxg1GA7L7puhvGnTfimi31P07zSIj7SDV6YtuAx9nbJF51DES+2CIIRkXs8GKqWJxA=="; + }; + }; + "p-timeout-3.2.0" = { + name = "p-timeout"; + packageName = "p-timeout"; + version = "3.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/p-timeout/-/p-timeout-3.2.0.tgz"; + sha512 = "rhIwUycgwwKcP9yTOOFK/AKsAopjjCakVqLHePO3CC6Mir1Z99xT+R63jZxAT5lFZLa2inS5h+ZS2GvR99/FBg=="; + }; + }; + "p-try-2.2.0" = { + name = "p-try"; + packageName = "p-try"; + version = "2.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/p-try/-/p-try-2.2.0.tgz"; + sha512 = "R4nPAVTAU0B9D35/Gk3uJf/7XYbQcyohSKdvAxIRSNghFl4e71hVoGnBNQz9cWaXxO2I10KTC+3jMdvvoKw6dQ=="; + }; + }; + "packet-reader-1.0.0" = { + name = "packet-reader"; + packageName = "packet-reader"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/packet-reader/-/packet-reader-1.0.0.tgz"; + sha512 = "HAKu/fG3HpHFO0AA8WE8q2g+gBJaZ9MG7fcKk+IJPLTGAD6Psw4443l+9DGRbOIh3/aXr7Phy0TjilYivJo5XQ=="; + }; + }; + "parseurl-1.3.3" = { + name = "parseurl"; + packageName = "parseurl"; + version = "1.3.3"; + src = fetchurl { + url = "https://registry.npmjs.org/parseurl/-/parseurl-1.3.3.tgz"; + sha512 = "CiyeOxFT/JZyN5m0z9PfXw4SCBJ6Sygz1Dpl0wqjlhDEGGBP1GnsUVEL0p63hoG1fcj3fHynXi9NYO4nWOL+qQ=="; + }; + }; + "path-exists-3.0.0" = { + name = "path-exists"; + packageName = "path-exists"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/path-exists/-/path-exists-3.0.0.tgz"; + sha1 = "ce0ebeaa5f78cb18925ea7d810d7b59b010fd515"; + }; + }; + "path-is-absolute-1.0.1" = { + name = "path-is-absolute"; + packageName = "path-is-absolute"; + version = "1.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/path-is-absolute/-/path-is-absolute-1.0.1.tgz"; + sha1 = "174b9268735534ffbc7ace6bf53a5a9e1b5c5f5f"; + }; + }; + "path-parse-1.0.6" = { + name = "path-parse"; + packageName = "path-parse"; + version = "1.0.6"; + src = fetchurl { + url = "https://registry.npmjs.org/path-parse/-/path-parse-1.0.6.tgz"; + sha512 = "GSmOT2EbHrINBf9SR7CDELwlJ8AENk3Qn7OikK4nFYAu3Ote2+JYNVvkpAEQm3/TLNEJFD/xZJjzyxg3KBWOzw=="; + }; + }; + "path-to-regexp-0.1.7" = { + name = "path-to-regexp"; + packageName = "path-to-regexp"; + version = "0.1.7"; + src = fetchurl { + url = "https://registry.npmjs.org/path-to-regexp/-/path-to-regexp-0.1.7.tgz"; + sha1 = "df604178005f522f15eb4490e7247a1bfaa67f8c"; + }; + }; + "pathval-1.1.0" = { + name = "pathval"; + packageName = "pathval"; + version = "1.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/pathval/-/pathval-1.1.0.tgz"; + sha1 = "b942e6d4bde653005ef6b71361def8727d0645e0"; + }; + }; + "performance-now-2.1.0" = { + name = "performance-now"; + packageName = "performance-now"; + version = "2.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/performance-now/-/performance-now-2.1.0.tgz"; + sha1 = "6309f4e0e5fa913ec1c69307ae364b4b377c9e7b"; + }; + }; + "pg-7.12.1" = { + name = "pg"; + packageName = "pg"; + version = "7.12.1"; + src = fetchurl { + url = "https://registry.npmjs.org/pg/-/pg-7.12.1.tgz"; + sha512 = "l1UuyfEvoswYfcUe6k+JaxiN+5vkOgYcVSbSuw3FvdLqDbaoa2RJo1zfJKfPsSYPFVERd4GHvX3s2PjG1asSDA=="; + }; + }; + "pg-connection-string-0.1.3" = { + name = "pg-connection-string"; + packageName = "pg-connection-string"; + version = "0.1.3"; + src = fetchurl { + url = "https://registry.npmjs.org/pg-connection-string/-/pg-connection-string-0.1.3.tgz"; + sha1 = "da1847b20940e42ee1492beaf65d49d91b245df7"; + }; + }; + "pg-int8-1.0.1" = { + name = "pg-int8"; + packageName = "pg-int8"; + version = "1.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/pg-int8/-/pg-int8-1.0.1.tgz"; + sha512 = "WCtabS6t3c8SkpDBUlb1kjOs7l66xsGdKpIPZsg4wR+B3+u9UAum2odSsF9tnvxg80h4ZxLWMy4pRjOsFIqQpw=="; + }; + }; + "pg-minify-1.5.1" = { + name = "pg-minify"; + packageName = "pg-minify"; + version = "1.5.1"; + src = fetchurl { + url = "https://registry.npmjs.org/pg-minify/-/pg-minify-1.5.1.tgz"; + sha512 = "nqUTo8y9T0VhiJoWC0sK0+2S8hYDiu7CdH0Z9ijPi2iikiQ44mfcAFxEJxfvF8H3h/bDBvXthtOQPIB3pLWIow=="; + }; + }; + "pg-pool-2.0.7" = { + name = "pg-pool"; + packageName = "pg-pool"; + version = "2.0.7"; + src = fetchurl { + url = "https://registry.npmjs.org/pg-pool/-/pg-pool-2.0.7.tgz"; + sha512 = "UiJyO5B9zZpu32GSlP0tXy8J2NsJ9EFGFfz5v6PSbdz/1hBLX1rNiiy5+mAm5iJJYwfCv4A0EBcQLGWwjbpzZw=="; + }; + }; + "pg-promise-9.3.3" = { + name = "pg-promise"; + packageName = "pg-promise"; + version = "9.3.3"; + src = fetchurl { + url = "https://registry.npmjs.org/pg-promise/-/pg-promise-9.3.3.tgz"; + sha512 = "C7Mj5RSUvK0cGOaJ0p1fcOk5jhS1n+HgY+DoE8s1+Zjzf6ta70zYDIlOmy6MtYWs4DFHhUW654hb0FmtGKkIkg=="; + }; + }; + "pg-types-2.2.0" = { + name = "pg-types"; + packageName = "pg-types"; + version = "2.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/pg-types/-/pg-types-2.2.0.tgz"; + sha512 = "qTAAlrEsl8s4OiEQY69wDvcMIdQN6wdz5ojQiOy6YRMuynxenON0O5oCpJI6lshc6scgAY8qvJ2On/p+CXY0GA=="; + }; + }; + "pgpass-1.0.2" = { + name = "pgpass"; + packageName = "pgpass"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/pgpass/-/pgpass-1.0.2.tgz"; + sha1 = "2a7bb41b6065b67907e91da1b07c1847c877b306"; + }; + }; + "postgres-array-2.0.0" = { + name = "postgres-array"; + packageName = "postgres-array"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/postgres-array/-/postgres-array-2.0.0.tgz"; + sha512 = "VpZrUqU5A69eQyW2c5CA1jtLecCsN2U/bD6VilrFDWq5+5UIEVO7nazS3TEcHf1zuPYO/sqGvUvW62g86RXZuA=="; + }; + }; + "postgres-bytea-1.0.0" = { + name = "postgres-bytea"; + packageName = "postgres-bytea"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/postgres-bytea/-/postgres-bytea-1.0.0.tgz"; + sha1 = "027b533c0aa890e26d172d47cf9ccecc521acd35"; + }; + }; + "postgres-date-1.0.4" = { + name = "postgres-date"; + packageName = "postgres-date"; + version = "1.0.4"; + src = fetchurl { + url = "https://registry.npmjs.org/postgres-date/-/postgres-date-1.0.4.tgz"; + sha512 = "bESRvKVuTrjoBluEcpv2346+6kgB7UlnqWZsnbnCccTNq/pqfj1j6oBaN5+b/NrDXepYUT/HKadqv3iS9lJuVA=="; + }; + }; + "postgres-interval-1.2.0" = { + name = "postgres-interval"; + packageName = "postgres-interval"; + version = "1.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/postgres-interval/-/postgres-interval-1.2.0.tgz"; + sha512 = "9ZhXKM/rw350N1ovuWHbGxnGh/SNJ4cnxHiM0rxE4VN41wsg8P8zWn9hv/buK00RP4WvlOyr/RBDiptyxVbkZQ=="; + }; + }; + "process-nextick-args-2.0.1" = { + name = "process-nextick-args"; + packageName = "process-nextick-args"; + version = "2.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/process-nextick-args/-/process-nextick-args-2.0.1.tgz"; + sha512 = "3ouUOpQhtgrbOa17J7+uxOTpITYWaGP7/AhoR3+A+/1e9skrzelGi/dXzEYyvbxubEF6Wn2ypscTKiKJFFn1ag=="; + }; + }; + "prom-client-11.5.3" = { + name = "prom-client"; + packageName = "prom-client"; + version = "11.5.3"; + src = fetchurl { + url = "https://registry.npmjs.org/prom-client/-/prom-client-11.5.3.tgz"; + sha512 = "iz22FmTbtkyL2vt0MdDFY+kWof+S9UB/NACxSn2aJcewtw+EERsen0urSkZ2WrHseNdydsvcxCTAnPcSMZZv4Q=="; + }; + }; + "proxy-addr-2.0.5" = { + name = "proxy-addr"; + packageName = "proxy-addr"; + version = "2.0.5"; + src = fetchurl { + url = "https://registry.npmjs.org/proxy-addr/-/proxy-addr-2.0.5.tgz"; + sha512 = "t/7RxHXPH6cJtP0pRG6smSr9QJidhB+3kXu0KgXnbGYMgzEnUxRQ4/LDdfOwZEMyIh3/xHb8PX3t+lfL9z+YVQ=="; + }; + }; + "psl-1.4.0" = { + name = "psl"; + packageName = "psl"; + version = "1.4.0"; + src = fetchurl { + url = "https://registry.npmjs.org/psl/-/psl-1.4.0.tgz"; + sha512 = "HZzqCGPecFLyoRj5HLfuDSKYTJkAfB5thKBIkRHtGjWwY7p1dAyveIbXIq4tO0KYfDF2tHqPUgY9SDnGm00uFw=="; + }; + }; + "punycode-1.4.1" = { + name = "punycode"; + packageName = "punycode"; + version = "1.4.1"; + src = fetchurl { + url = "https://registry.npmjs.org/punycode/-/punycode-1.4.1.tgz"; + sha1 = "c0d5a63b2718800ad8e1eb0fa5269c84dd41845e"; + }; + }; + "punycode-2.1.1" = { + name = "punycode"; + packageName = "punycode"; + version = "2.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/punycode/-/punycode-2.1.1.tgz"; + sha512 = "XRsRjdf+j5ml+y/6GKHPZbrF/8p2Yga0JPtdqTIY2Xe5ohJPD9saDJJLPvp9+NSBprVvevdXZybnj2cv8OEd0A=="; + }; + }; + "qs-6.5.2" = { + name = "qs"; + packageName = "qs"; + version = "6.5.2"; + src = fetchurl { + url = "https://registry.npmjs.org/qs/-/qs-6.5.2.tgz"; + sha512 = "N5ZAX4/LxJmF+7wN74pUD6qAh9/wnvdQcjq9TZjevvXzSUo7bfmw91saqMjzGS2xq91/odN2dW/WOl7qQHNDGA=="; + }; + }; + "qs-6.7.0" = { + name = "qs"; + packageName = "qs"; + version = "6.7.0"; + src = fetchurl { + url = "https://registry.npmjs.org/qs/-/qs-6.7.0.tgz"; + sha512 = "VCdBRNFTX1fyE7Nb6FYoURo/SPe62QCaAyzJvUjwRaIsc+NePBEniHlvxFmmX56+HZphIGtV0XeCirBtpDrTyQ=="; + }; + }; + "quick-lru-4.0.1" = { + name = "quick-lru"; + packageName = "quick-lru"; + version = "4.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/quick-lru/-/quick-lru-4.0.1.tgz"; + sha512 = "ARhCpm70fzdcvNQfPoy49IaanKkTlRWF2JMzqhcJbhSFRZv7nPTvZJdcY7301IPmvW+/p0RgIWnQDLJxifsQ7g=="; + }; + }; + "randomstring-1.1.5" = { + name = "randomstring"; + packageName = "randomstring"; + version = "1.1.5"; + src = fetchurl { + url = "https://registry.npmjs.org/randomstring/-/randomstring-1.1.5.tgz"; + sha1 = "6df0628f75cbd5932930d9fe3ab4e956a18518c3"; + }; + }; + "range-parser-1.2.1" = { + name = "range-parser"; + packageName = "range-parser"; + version = "1.2.1"; + src = fetchurl { + url = "https://registry.npmjs.org/range-parser/-/range-parser-1.2.1.tgz"; + sha512 = "Hrgsx+orqoygnmhFbKaHE6c296J+HTAQXoxEF6gNupROmmGJRoyzfG3ccAveqCBrwr/2yxQ5BVd/GTl5agOwSg=="; + }; + }; + "raw-body-2.4.0" = { + name = "raw-body"; + packageName = "raw-body"; + version = "2.4.0"; + src = fetchurl { + url = "https://registry.npmjs.org/raw-body/-/raw-body-2.4.0.tgz"; + sha512 = "4Oz8DUIwdvoa5qMJelxipzi/iJIi40O5cGV1wNYp5hvZP8ZN0T+jiNkL0QepXs+EsQ9XJ8ipEDoiH70ySUJP3Q=="; + }; + }; + "readable-stream-2.3.6" = { + name = "readable-stream"; + packageName = "readable-stream"; + version = "2.3.6"; + src = fetchurl { + url = "https://registry.npmjs.org/readable-stream/-/readable-stream-2.3.6.tgz"; + sha512 = "tQtKA9WIAhBF3+VLAseyMqZeBjW0AHJoxOtYqSUZNJxauErmLbVm2FW1y+J/YA9dUrAC39ITejlZWhVIwawkKw=="; + }; + }; + "readable-stream-3.4.0" = { + name = "readable-stream"; + packageName = "readable-stream"; + version = "3.4.0"; + src = fetchurl { + url = "https://registry.npmjs.org/readable-stream/-/readable-stream-3.4.0.tgz"; + sha512 = "jItXPLmrSR8jmTRmRWJXCnGJsfy85mB3Wd/uINMXA65yrnFo0cPClFIUWzo2najVNSl+mx7/4W8ttlLWJe99pQ=="; + }; + }; + "regenerator-runtime-0.11.1" = { + name = "regenerator-runtime"; + packageName = "regenerator-runtime"; + version = "0.11.1"; + src = fetchurl { + url = "https://registry.npmjs.org/regenerator-runtime/-/regenerator-runtime-0.11.1.tgz"; + sha512 = "MguG95oij0fC3QV3URf4V2SDYGJhJnJGqvIIgdECeODCT98wSWDAJ94SSuVpYQUoTcGUIL6L4yNB7j1DFFHSBg=="; + }; + }; + "request-2.88.0" = { + name = "request"; + packageName = "request"; + version = "2.88.0"; + src = fetchurl { + url = "https://registry.npmjs.org/request/-/request-2.88.0.tgz"; + sha512 = "NAqBSrijGLZdM0WZNsInLJpkJokL72XYjUpnB0iwsRgxh7dB6COrHnTBNwN0E+lHDAJzu7kLAkDeY08z2/A0hg=="; + }; + }; + "request-promise-core-1.1.2" = { + name = "request-promise-core"; + packageName = "request-promise-core"; + version = "1.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/request-promise-core/-/request-promise-core-1.1.2.tgz"; + sha512 = "UHYyq1MO8GsefGEt7EprS8UrXsm1TxEvFUX1IMTuSLU2Rh7fTIdFtl8xD7JiEYiWU2dl+NYAjCTksTehQUxPag=="; + }; + }; + "request-promise-native-1.0.7" = { + name = "request-promise-native"; + packageName = "request-promise-native"; + version = "1.0.7"; + src = fetchurl { + url = "https://registry.npmjs.org/request-promise-native/-/request-promise-native-1.0.7.tgz"; + sha512 = "rIMnbBdgNViL37nZ1b3L/VfPOpSi0TqVDQPAvO6U14lMzOLrt5nilxCQqtDKhZeDiW0/hkCXGoQjhgJd/tCh6w=="; + }; + }; + "require-directory-2.1.1" = { + name = "require-directory"; + packageName = "require-directory"; + version = "2.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/require-directory/-/require-directory-2.1.1.tgz"; + sha1 = "8c64ad5fd30dab1c976e2344ffe7f792a6a6df42"; + }; + }; + "require-main-filename-2.0.0" = { + name = "require-main-filename"; + packageName = "require-main-filename"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/require-main-filename/-/require-main-filename-2.0.0.tgz"; + sha512 = "NKN5kMDylKuldxYLSUfrbo5Tuzh4hd+2E8NPPX02mZtn1VuREQToYe/ZdlJy+J3uCpfaiGF05e7B8W0iXbQHmg=="; + }; + }; + "resolve-1.12.0" = { + name = "resolve"; + packageName = "resolve"; + version = "1.12.0"; + src = fetchurl { + url = "https://registry.npmjs.org/resolve/-/resolve-1.12.0.tgz"; + sha512 = "B/dOmuoAik5bKcD6s6nXDCjzUKnaDvdkRyAk6rsmsKLipWj4797iothd7jmmUhWTfinVMU+wc56rYKsit2Qy4w=="; + }; + }; + "retry-0.12.0" = { + name = "retry"; + packageName = "retry"; + version = "0.12.0"; + src = fetchurl { + url = "https://registry.npmjs.org/retry/-/retry-0.12.0.tgz"; + sha1 = "1b42a6266a21f07421d1b0b54b7dc167b01c013b"; + }; + }; + "safe-buffer-5.1.2" = { + name = "safe-buffer"; + packageName = "safe-buffer"; + version = "5.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/safe-buffer/-/safe-buffer-5.1.2.tgz"; + sha512 = "Gd2UZBJDkXlY7GbJxfsE8/nvKkUEU1G38c1siN6QP6a9PT9MmHB8GnpscSmMJSoF8LOIrt8ud/wPtojys4G6+g=="; + }; + }; + "safe-buffer-5.2.0" = { + name = "safe-buffer"; + packageName = "safe-buffer"; + version = "5.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/safe-buffer/-/safe-buffer-5.2.0.tgz"; + sha512 = "fZEwUGbVl7kouZs1jCdMLdt95hdIv0ZeHg6L7qPeciMZhZ+/gdesW4wgTARkrFWEpspjEATAzUGPG8N2jJiwbg=="; + }; + }; + "safer-buffer-2.1.2" = { + name = "safer-buffer"; + packageName = "safer-buffer"; + version = "2.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/safer-buffer/-/safer-buffer-2.1.2.tgz"; + sha512 = "YZo3K82SD7Riyi0E1EQPojLz7kpepnSQI9IyPbHHg1XXXevb5dJI7tpyN2ADxGcQbHG7vcyRHk0cbwqcQriUtg=="; + }; + }; + "semver-4.3.2" = { + name = "semver"; + packageName = "semver"; + version = "4.3.2"; + src = fetchurl { + url = "https://registry.npmjs.org/semver/-/semver-4.3.2.tgz"; + sha1 = "c7a07158a80bedd052355b770d82d6640f803be7"; + }; + }; + "semver-5.7.1" = { + name = "semver"; + packageName = "semver"; + version = "5.7.1"; + src = fetchurl { + url = "https://registry.npmjs.org/semver/-/semver-5.7.1.tgz"; + sha512 = "sauaDf/PZdVgrLTNYHRtpXa1iRiKcaebiKQ1BJdpQlWH2lCvexQdX55snPFyK7QzpudqbCI0qXFfOasHdyNDGQ=="; + }; + }; + "semver-6.3.0" = { + name = "semver"; + packageName = "semver"; + version = "6.3.0"; + src = fetchurl { + url = "https://registry.npmjs.org/semver/-/semver-6.3.0.tgz"; + sha512 = "b39TBaTSfV6yBrapU89p5fKekE2m/NwnDocOVruQFS1/veMgdzuPcnOM34M6CwxW8jH/lxEa5rBoDeUwu5HHTw=="; + }; + }; + "send-0.17.1" = { + name = "send"; + packageName = "send"; + version = "0.17.1"; + src = fetchurl { + url = "https://registry.npmjs.org/send/-/send-0.17.1.tgz"; + sha512 = "BsVKsiGcQMFwT8UxypobUKyv7irCNRHk1T0G680vk88yf6LBByGcZJOTJCrTP2xVN6yI+XjPJcNuE3V4fT9sAg=="; + }; + }; + "serve-static-1.14.1" = { + name = "serve-static"; + packageName = "serve-static"; + version = "1.14.1"; + src = fetchurl { + url = "https://registry.npmjs.org/serve-static/-/serve-static-1.14.1.tgz"; + sha512 = "JMrvUwE54emCYWlTI+hGrGv5I8dEwmco/00EvkzIIsR7MqrHonbD9pO2MOfFnpFntl7ecpZs+3mW+XbQZu9QCg=="; + }; + }; + "set-blocking-2.0.0" = { + name = "set-blocking"; + packageName = "set-blocking"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/set-blocking/-/set-blocking-2.0.0.tgz"; + sha1 = "045f9782d011ae9a6803ddd382b24392b3d890f7"; + }; + }; + "setprototypeof-1.1.1" = { + name = "setprototypeof"; + packageName = "setprototypeof"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/setprototypeof/-/setprototypeof-1.1.1.tgz"; + sha512 = "JvdAWfbXeIGaZ9cILp38HntZSFSo3mWg6xGcJJsd+d4aRMOqauag1C63dJfDw7OaMYwEbHMOxEZ1lqVRYP2OAw=="; + }; + }; + "simple-swizzle-0.2.2" = { + name = "simple-swizzle"; + packageName = "simple-swizzle"; + version = "0.2.2"; + src = fetchurl { + url = "https://registry.npmjs.org/simple-swizzle/-/simple-swizzle-0.2.2.tgz"; + sha1 = "a4da6b635ffcccca33f70d17cb92592de95e557a"; + }; + }; + "source-map-0.6.1" = { + name = "source-map"; + packageName = "source-map"; + version = "0.6.1"; + src = fetchurl { + url = "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz"; + sha512 = "UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g=="; + }; + }; + "source-map-support-0.5.13" = { + name = "source-map-support"; + packageName = "source-map-support"; + version = "0.5.13"; + src = fetchurl { + url = "https://registry.npmjs.org/source-map-support/-/source-map-support-0.5.13.tgz"; + sha512 = "SHSKFHadjVA5oR4PPqhtAVdcBWwRYVd6g6cAXnIbRiIwc2EhPrTuKUBdSLvlEKyIP3GCf89fltvcZiP9MMFA1w=="; + }; + }; + "spex-3.0.0" = { + name = "spex"; + packageName = "spex"; + version = "3.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/spex/-/spex-3.0.0.tgz"; + sha512 = "JoMfgbrJcEPn53JCLkSNH1o7fZ9rzkb24UKEt5LTcsp0YsaN+yxtb5MEmibbMRltj9CdXDNGitPrYi11JY2hog=="; + }; + }; + "split-1.0.1" = { + name = "split"; + packageName = "split"; + version = "1.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/split/-/split-1.0.1.tgz"; + sha512 = "mTyOoPbrivtXnwnIxZRFYRrPNtEFKlpB2fvjSnCQUiAA6qAZzqwna5envK4uk6OIeP17CsdF3rSBGYVBsU0Tkg=="; + }; + }; + "sprintf-js-1.0.3" = { + name = "sprintf-js"; + packageName = "sprintf-js"; + version = "1.0.3"; + src = fetchurl { + url = "https://registry.npmjs.org/sprintf-js/-/sprintf-js-1.0.3.tgz"; + sha1 = "04e6926f662895354f3dd015203633b857297e2c"; + }; + }; + "sshpk-1.16.1" = { + name = "sshpk"; + packageName = "sshpk"; + version = "1.16.1"; + src = fetchurl { + url = "https://registry.npmjs.org/sshpk/-/sshpk-1.16.1.tgz"; + sha512 = "HXXqVUq7+pcKeLqqZj6mHFUMvXtOJt1uoUx09pFW6011inTMxqI8BA8PM95myrIyyKwdnzjdFjLiE6KBPVtJIg=="; + }; + }; + "stack-trace-0.0.10" = { + name = "stack-trace"; + packageName = "stack-trace"; + version = "0.0.10"; + src = fetchurl { + url = "https://registry.npmjs.org/stack-trace/-/stack-trace-0.0.10.tgz"; + sha1 = "547c70b347e8d32b4e108ea1a2a159e5fdde19c0"; + }; + }; + "statuses-1.5.0" = { + name = "statuses"; + packageName = "statuses"; + version = "1.5.0"; + src = fetchurl { + url = "https://registry.npmjs.org/statuses/-/statuses-1.5.0.tgz"; + sha1 = "161c7dac177659fd9811f43771fa99381478628c"; + }; + }; + "stealthy-require-1.1.1" = { + name = "stealthy-require"; + packageName = "stealthy-require"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/stealthy-require/-/stealthy-require-1.1.1.tgz"; + sha1 = "35b09875b4ff49f26a777e509b3090a3226bf24b"; + }; + }; + "string-width-2.1.1" = { + name = "string-width"; + packageName = "string-width"; + version = "2.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/string-width/-/string-width-2.1.1.tgz"; + sha512 = "nOqH59deCq9SRHlxq1Aw85Jnt4w6KvLKqWVik6oA9ZklXLNIOlqg4F2yrT1MVaTjAqvVwdfeZ7w7aCvJD7ugkw=="; + }; + }; + "string-width-3.1.0" = { + name = "string-width"; + packageName = "string-width"; + version = "3.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/string-width/-/string-width-3.1.0.tgz"; + sha512 = "vafcv6KjVZKSgz06oM/H6GDBrAtz8vdhQakGjFIvNrHA6y3HCF1CInLy+QLq8dTJPQ1b+KDUqDFctkdRW44e1w=="; + }; + }; + "string.prototype.trimleft-2.1.0" = { + name = "string.prototype.trimleft"; + packageName = "string.prototype.trimleft"; + version = "2.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/string.prototype.trimleft/-/string.prototype.trimleft-2.1.0.tgz"; + sha512 = "FJ6b7EgdKxxbDxc79cOlok6Afd++TTs5szo+zJTUyow3ycrRfJVE2pq3vcN53XexvKZu/DJMDfeI/qMiZTrjTw=="; + }; + }; + "string.prototype.trimright-2.1.0" = { + name = "string.prototype.trimright"; + packageName = "string.prototype.trimright"; + version = "2.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/string.prototype.trimright/-/string.prototype.trimright-2.1.0.tgz"; + sha512 = "fXZTSV55dNBwv16uw+hh5jkghxSnc5oHq+5K/gXgizHwAvMetdAJlHqqoFC1FSDVPYWLkAKl2cxpUT41sV7nSg=="; + }; + }; + "string_decoder-1.1.1" = { + name = "string_decoder"; + packageName = "string_decoder"; + version = "1.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/string_decoder/-/string_decoder-1.1.1.tgz"; + sha512 = "n/ShnvDi6FHbbVfviro+WojiFzv+s8MPMHBczVePfUpDJLwoLT0ht1l4YwBCbi8pJAveEEdnkHyPyTP/mzRfwg=="; + }; + }; + "string_decoder-1.3.0" = { + name = "string_decoder"; + packageName = "string_decoder"; + version = "1.3.0"; + src = fetchurl { + url = "https://registry.npmjs.org/string_decoder/-/string_decoder-1.3.0.tgz"; + sha512 = "hkRX8U1WjJFd8LsDJ2yQ/wWWxaopEsABU1XfkM8A+j0+85JAGppt16cr1Whg6KIbb4okU6Mql6BOj+uup/wKeA=="; + }; + }; + "strip-ansi-4.0.0" = { + name = "strip-ansi"; + packageName = "strip-ansi"; + version = "4.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/strip-ansi/-/strip-ansi-4.0.0.tgz"; + sha1 = "a8479022eb1ac368a871389b635262c505ee368f"; + }; + }; + "strip-ansi-5.2.0" = { + name = "strip-ansi"; + packageName = "strip-ansi"; + version = "5.2.0"; + src = fetchurl { + url = "https://registry.npmjs.org/strip-ansi/-/strip-ansi-5.2.0.tgz"; + sha512 = "DuRs1gKbBqsMKIZlrffwlug8MHkcnpjs5VPmL1PAh+mA30U0DTotfDZ0d2UUsXpPmPmMMJ6W773MaA3J+lbiWA=="; + }; + }; + "strip-json-comments-2.0.1" = { + name = "strip-json-comments"; + packageName = "strip-json-comments"; + version = "2.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/strip-json-comments/-/strip-json-comments-2.0.1.tgz"; + sha1 = "3c531942e908c2697c0ec344858c286c7ca0a60a"; + }; + }; + "supports-color-5.5.0" = { + name = "supports-color"; + packageName = "supports-color"; + version = "5.5.0"; + src = fetchurl { + url = "https://registry.npmjs.org/supports-color/-/supports-color-5.5.0.tgz"; + sha512 = "QjVjwdXIt408MIiAqCX4oUKsgU2EqAGzs2Ppkm4aQYbjm+ZEWEcW4SfFNTr4uMNZma0ey4f5lgLrkB0aX0QMow=="; + }; + }; + "supports-color-6.0.0" = { + name = "supports-color"; + packageName = "supports-color"; + version = "6.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/supports-color/-/supports-color-6.0.0.tgz"; + sha512 = "on9Kwidc1IUQo+bQdhi8+Tijpo0e1SS6RoGo2guUwn5vdaxw8RXOF9Vb2ws+ihWOmh4JnCJOvaziZWP1VABaLg=="; + }; + }; + "tdigest-0.1.1" = { + name = "tdigest"; + packageName = "tdigest"; + version = "0.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/tdigest/-/tdigest-0.1.1.tgz"; + sha1 = "2e3cb2c39ea449e55d1e6cd91117accca4588021"; + }; + }; + "text-hex-1.0.0" = { + name = "text-hex"; + packageName = "text-hex"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/text-hex/-/text-hex-1.0.0.tgz"; + sha512 = "uuVGNWzgJ4yhRaNSiubPY7OjISw4sw4E5Uv0wbjp+OzcbmVU/rsT8ujgcXJhn9ypzsgr5vlzpPqP+MBBKcGvbg=="; + }; + }; + "through-2.3.8" = { + name = "through"; + packageName = "through"; + version = "2.3.8"; + src = fetchurl { + url = "https://registry.npmjs.org/through/-/through-2.3.8.tgz"; + sha1 = "0dd4c9ffaabc357960b1b724115d7e0e86a2e1f5"; + }; + }; + "toidentifier-1.0.0" = { + name = "toidentifier"; + packageName = "toidentifier"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/toidentifier/-/toidentifier-1.0.0.tgz"; + sha512 = "yaOH/Pk/VEhBWWTlhI+qXxDFXlejDGcQipMlyxda9nthulaxLZUNcUqFxokp0vcYnvteJln5FNQDRrxj3YcbVw=="; + }; + }; + "tough-cookie-2.4.3" = { + name = "tough-cookie"; + packageName = "tough-cookie"; + version = "2.4.3"; + src = fetchurl { + url = "https://registry.npmjs.org/tough-cookie/-/tough-cookie-2.4.3.tgz"; + sha512 = "Q5srk/4vDM54WJsJio3XNn6K2sCG+CQ8G5Wz6bZhRZoAe/+TxjWB/GlFAnYEbkYVlON9FMk/fE3h2RLpPXo4lQ=="; + }; + }; + "triple-beam-1.3.0" = { + name = "triple-beam"; + packageName = "triple-beam"; + version = "1.3.0"; + src = fetchurl { + url = "https://registry.npmjs.org/triple-beam/-/triple-beam-1.3.0.tgz"; + sha512 = "XrHUvV5HpdLmIj4uVMxHggLbFSZYIn7HEWsqePZcI50pco+MPqJ50wMGY794X7AOOhxOBAjbkqfAbEe/QMp2Lw=="; + }; + }; + "ts-node-8.4.1" = { + name = "ts-node"; + packageName = "ts-node"; + version = "8.4.1"; + src = fetchurl { + url = "https://registry.npmjs.org/ts-node/-/ts-node-8.4.1.tgz"; + sha512 = "5LpRN+mTiCs7lI5EtbXmF/HfMeCjzt7DH9CZwtkr6SywStrNQC723wG+aOWFiLNn7zT3kD/RnFqi3ZUfr4l5Qw=="; + }; + }; + "tslib-1.10.0" = { + name = "tslib"; + packageName = "tslib"; + version = "1.10.0"; + src = fetchurl { + url = "https://registry.npmjs.org/tslib/-/tslib-1.10.0.tgz"; + sha512 = "qOebF53frne81cf0S9B41ByenJ3/IuH8yJKngAX35CmiZySA0khhkovshKK+jGCaMnVomla7gVlIcc3EvKPbTQ=="; + }; + }; + "tslint-5.20.0" = { + name = "tslint"; + packageName = "tslint"; + version = "5.20.0"; + src = fetchurl { + url = "https://registry.npmjs.org/tslint/-/tslint-5.20.0.tgz"; + sha512 = "2vqIvkMHbnx8acMogAERQ/IuINOq6DFqgF8/VDvhEkBqQh/x6SP0Y+OHnKth9/ZcHQSroOZwUQSN18v8KKF0/g=="; + }; + }; + "tsutils-2.29.0" = { + name = "tsutils"; + packageName = "tsutils"; + version = "2.29.0"; + src = fetchurl { + url = "https://registry.npmjs.org/tsutils/-/tsutils-2.29.0.tgz"; + sha512 = "g5JVHCIJwzfISaXpXE1qvNalca5Jwob6FjI4AoPlqMusJ6ftFE7IkkFoMhVLRgK+4Kx3gkzb8UZK5t5yTTvEmA=="; + }; + }; + "tunnel-agent-0.6.0" = { + name = "tunnel-agent"; + packageName = "tunnel-agent"; + version = "0.6.0"; + src = fetchurl { + url = "https://registry.npmjs.org/tunnel-agent/-/tunnel-agent-0.6.0.tgz"; + sha1 = "27a5dea06b36b04a0a9966774b290868f0fc40fd"; + }; + }; + "tweetnacl-0.14.5" = { + name = "tweetnacl"; + packageName = "tweetnacl"; + version = "0.14.5"; + src = fetchurl { + url = "https://registry.npmjs.org/tweetnacl/-/tweetnacl-0.14.5.tgz"; + sha1 = "5ae68177f192d4456269d108afa93ff8743f4f64"; + }; + }; + "type-detect-4.0.8" = { + name = "type-detect"; + packageName = "type-detect"; + version = "4.0.8"; + src = fetchurl { + url = "https://registry.npmjs.org/type-detect/-/type-detect-4.0.8.tgz"; + sha512 = "0fr/mIH1dlO+x7TlcMy+bIDqKPsw/70tVyeHW787goQjhmqaZe10uwLujubK9q9Lg6Fiho1KUKDYz0Z7k7g5/g=="; + }; + }; + "type-is-1.6.18" = { + name = "type-is"; + packageName = "type-is"; + version = "1.6.18"; + src = fetchurl { + url = "https://registry.npmjs.org/type-is/-/type-is-1.6.18.tgz"; + sha512 = "TkRKr9sUTxEH8MdfuCSP7VizJyzRNMjj2J2do2Jr3Kym598JVdEksuzPQCnlFPW4ky9Q+iA+ma9BGm06XQBy8g=="; + }; + }; + "typescript-3.6.3" = { + name = "typescript"; + packageName = "typescript"; + version = "3.6.3"; + src = fetchurl { + url = "https://registry.npmjs.org/typescript/-/typescript-3.6.3.tgz"; + sha512 = "N7bceJL1CtRQ2RiG0AQME13ksR7DiuQh/QehubYcghzv20tnh+MQnQIuJddTmsbqYj+dztchykemz0zFzlvdQw=="; + }; + }; + "underscore-1.4.4" = { + name = "underscore"; + packageName = "underscore"; + version = "1.4.4"; + src = fetchurl { + url = "https://registry.npmjs.org/underscore/-/underscore-1.4.4.tgz"; + sha1 = "61a6a32010622afa07963bf325203cf12239d604"; + }; + }; + "unhomoglyph-1.0.2" = { + name = "unhomoglyph"; + packageName = "unhomoglyph"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/unhomoglyph/-/unhomoglyph-1.0.2.tgz"; + sha1 = "d69e5f5a6a1c6b211941a0889b81eba86595c253"; + }; + }; + "unpipe-1.0.0" = { + name = "unpipe"; + packageName = "unpipe"; + version = "1.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/unpipe/-/unpipe-1.0.0.tgz"; + sha1 = "b2bf4ee8514aae6165b4817829d21b2ef49904ec"; + }; + }; + "uri-js-4.2.2" = { + name = "uri-js"; + packageName = "uri-js"; + version = "4.2.2"; + src = fetchurl { + url = "https://registry.npmjs.org/uri-js/-/uri-js-4.2.2.tgz"; + sha512 = "KY9Frmirql91X2Qgjry0Wd4Y+YTdrdZheS8TFwvkbLWf/G5KNJDCh6pKL5OZctEW4+0Baa5idK2ZQuELRwPznQ=="; + }; + }; + "util-deprecate-1.0.2" = { + name = "util-deprecate"; + packageName = "util-deprecate"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/util-deprecate/-/util-deprecate-1.0.2.tgz"; + sha1 = "450d4dc9fa70de732762fbd2d4a28981419a0ccf"; + }; + }; + "utils-merge-1.0.1" = { + name = "utils-merge"; + packageName = "utils-merge"; + version = "1.0.1"; + src = fetchurl { + url = "https://registry.npmjs.org/utils-merge/-/utils-merge-1.0.1.tgz"; + sha1 = "9f95710f50a267947b2ccc124741c1028427e713"; + }; + }; + "uuid-3.3.3" = { + name = "uuid"; + packageName = "uuid"; + version = "3.3.3"; + src = fetchurl { + url = "https://registry.npmjs.org/uuid/-/uuid-3.3.3.tgz"; + sha512 = "pW0No1RGHgzlpHJO1nsVrHKpOEIxkGg1xB+v0ZmdNH5OAeAwzAVrCnI2/6Mtx+Uys6iaylxa+D3g4j63IKKjSQ=="; + }; + }; + "vary-1.1.2" = { + name = "vary"; + packageName = "vary"; + version = "1.1.2"; + src = fetchurl { + url = "https://registry.npmjs.org/vary/-/vary-1.1.2.tgz"; + sha1 = "2299f02c6ded30d4a5961b0b9f74524a18f634fc"; + }; + }; + "verror-1.10.0" = { + name = "verror"; + packageName = "verror"; + version = "1.10.0"; + src = fetchurl { + url = "https://registry.npmjs.org/verror/-/verror-1.10.0.tgz"; + sha1 = "3a105ca17053af55d6e270c1f8288682e18da400"; + }; + }; + "which-1.3.1" = { + name = "which"; + packageName = "which"; + version = "1.3.1"; + src = fetchurl { + url = "https://registry.npmjs.org/which/-/which-1.3.1.tgz"; + sha512 = "HxJdYWq1MTIQbJ3nw0cqssHoTNU267KlrDuGZ1WYlxDStUtKUhOaJmh112/TZmHxxUfuJqPXSOm7tDyas0OSIQ=="; + }; + }; + "which-module-2.0.0" = { + name = "which-module"; + packageName = "which-module"; + version = "2.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/which-module/-/which-module-2.0.0.tgz"; + sha1 = "d9ef07dce77b9902b8a3a8fa4b31c3e3f7e6e87a"; + }; + }; + "wide-align-1.1.3" = { + name = "wide-align"; + packageName = "wide-align"; + version = "1.1.3"; + src = fetchurl { + url = "https://registry.npmjs.org/wide-align/-/wide-align-1.1.3.tgz"; + sha512 = "QGkOQc8XL6Bt5PwnsExKBPuMKBxnGxWWW3fU55Xt4feHozMUhdUMaBCk290qpm/wG5u/RSKzwdAC4i51YigihA=="; + }; + }; + "winston-3.2.1" = { + name = "winston"; + packageName = "winston"; + version = "3.2.1"; + src = fetchurl { + url = "https://registry.npmjs.org/winston/-/winston-3.2.1.tgz"; + sha512 = "zU6vgnS9dAWCEKg/QYigd6cgMVVNwyTzKs81XZtTFuRwJOcDdBg7AU0mXVyNbs7O5RH2zdv+BdNZUlx7mXPuOw=="; + }; + }; + "winston-compat-0.1.4" = { + name = "winston-compat"; + packageName = "winston-compat"; + version = "0.1.4"; + src = fetchurl { + url = "https://registry.npmjs.org/winston-compat/-/winston-compat-0.1.4.tgz"; + sha512 = "mMEfFsSm6GmkFF+f4/0UJtG4N1vSaczGmXLVJYmS/+u2zUaIPcw2ZRuwUg2TvVBjswgiraN+vNnAG8z4fRUZ4w=="; + }; + }; + "winston-daily-rotate-file-3.10.0" = { + name = "winston-daily-rotate-file"; + packageName = "winston-daily-rotate-file"; + version = "3.10.0"; + src = fetchurl { + url = "https://registry.npmjs.org/winston-daily-rotate-file/-/winston-daily-rotate-file-3.10.0.tgz"; + sha512 = "KO8CfbI2CvdR3PaFApEH02GPXiwJ+vbkF1mCkTlvRIoXFI8EFlf1ACcuaahXTEiDEKCii6cNe95gsL4ZkbnphA=="; + }; + }; + "winston-transport-4.3.0" = { + name = "winston-transport"; + packageName = "winston-transport"; + version = "4.3.0"; + src = fetchurl { + url = "https://registry.npmjs.org/winston-transport/-/winston-transport-4.3.0.tgz"; + sha512 = "B2wPuwUi3vhzn/51Uukcao4dIduEiPOcOt9HJ3QeaXgkJ5Z7UwpBzxS4ZGNHtrxrUvTwemsQiSys0ihOf8Mp1A=="; + }; + }; + "wrap-ansi-5.1.0" = { + name = "wrap-ansi"; + packageName = "wrap-ansi"; + version = "5.1.0"; + src = fetchurl { + url = "https://registry.npmjs.org/wrap-ansi/-/wrap-ansi-5.1.0.tgz"; + sha512 = "QC1/iN/2/RPVJ5jYK8BGttj5z83LmSKmvbvrXPNCLZSEb32KKVDJDl/MOt2N01qU2H/FkzEa9PKto1BqDjtd7Q=="; + }; + }; + "wrappy-1.0.2" = { + name = "wrappy"; + packageName = "wrappy"; + version = "1.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/wrappy/-/wrappy-1.0.2.tgz"; + sha1 = "b5243d8f3ec1aa35f1364605bc0d1036e30ab69f"; + }; + }; + "ws-5.2.2" = { + name = "ws"; + packageName = "ws"; + version = "5.2.2"; + src = fetchurl { + url = "https://registry.npmjs.org/ws/-/ws-5.2.2.tgz"; + sha512 = "jaHFD6PFv6UgoIVda6qZllptQsMlDEJkTQcybzzXDYM1XO9Y8em691FGMPmM46WGyLU4z9KMgQN+qrux/nhlHA=="; + }; + }; + "xtend-4.0.2" = { + name = "xtend"; + packageName = "xtend"; + version = "4.0.2"; + src = fetchurl { + url = "https://registry.npmjs.org/xtend/-/xtend-4.0.2.tgz"; + sha512 = "LKYU1iAXJXUgAXn9URjiu+MWhyUXHsvfp7mcuYm9dSUKK0/CjtrUwFAxD82/mCWbtLsGjFIad0wIsod4zrTAEQ=="; + }; + }; + "y18n-4.0.0" = { + name = "y18n"; + packageName = "y18n"; + version = "4.0.0"; + src = fetchurl { + url = "https://registry.npmjs.org/y18n/-/y18n-4.0.0.tgz"; + sha512 = "r9S/ZyXu/Xu9q1tYlpsLIsa3EeLXXk0VwlxqTcFRfg9EhMW+17kbt9G0NrgCmhGb5vT2hyhJZLfDGx+7+5Uj/w=="; + }; + }; + "yargs-13.3.0" = { + name = "yargs"; + packageName = "yargs"; + version = "13.3.0"; + src = fetchurl { + url = "https://registry.npmjs.org/yargs/-/yargs-13.3.0.tgz"; + sha512 = "2eehun/8ALW8TLoIl7MVaRUrg+yCnenu8B4kBlRxj3GJGDKU1Og7sMXPNm1BYyM1DOJmTZ4YeN/Nwxv+8XJsUA=="; + }; + }; + "yargs-parser-13.1.1" = { + name = "yargs-parser"; + packageName = "yargs-parser"; + version = "13.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/yargs-parser/-/yargs-parser-13.1.1.tgz"; + sha512 = "oVAVsHz6uFrg3XQheFII8ESO2ssAf9luWuAd6Wexsu4F3OtIW0o8IribPXYrD4WC24LWtPrJlGy87y5udK+dxQ=="; + }; + }; + "yargs-unparser-1.6.0" = { + name = "yargs-unparser"; + packageName = "yargs-unparser"; + version = "1.6.0"; + src = fetchurl { + url = "https://registry.npmjs.org/yargs-unparser/-/yargs-unparser-1.6.0.tgz"; + sha512 = "W9tKgmSn0DpSatfri0nx52Joq5hVXgeLiqR/5G0sZNDoLZFOr/xjBUDcShCOGNsBnEMNo1KAMBkTej1Hm62HTw=="; + }; + }; + "yn-3.1.1" = { + name = "yn"; + packageName = "yn"; + version = "3.1.1"; + src = fetchurl { + url = "https://registry.npmjs.org/yn/-/yn-3.1.1.tgz"; + sha512 = "Ux4ygGWsu2c7isFWe8Yu1YluJmqVhxqK2cLXNQA5AcC3QfbGNpM7fu0Y8b/z16pXLnFxZYvWhd3fhBY9DLmC6Q=="; + }; + }; + }; +in +{ + "matrix-appservice-slack-git+https://github.com/matrix-org/matrix-appservice-slack.git#1.0.1" = nodeEnv.buildNodePackage { + name = "matrix-appservice-slack"; + packageName = "matrix-appservice-slack"; + version = "1.0.1"; + src = fetchgit { + url = "https://github.com/matrix-org/matrix-appservice-slack.git"; + rev = "3e30ae24faa0d457bea7f650a22083223af8d957"; + sha256 = "40a68132606da347ffb90650ca5e0bd1e2be3025397c2f48e286fe9f5e78e847"; + }; + dependencies = [ + sources."@babel/code-frame-7.5.5" + sources."@babel/highlight-7.5.0" + sources."@slack/logger-1.1.0" + (sources."@slack/rtm-api-5.0.3" // { + dependencies = [ + sources."p-queue-2.4.2" + ]; + }) + sources."@slack/types-1.2.1" + (sources."@slack/web-api-5.2.1" // { + dependencies = [ + sources."p-queue-2.4.2" + ]; + }) + sources."@types/body-parser-1.17.1" + sources."@types/caseless-0.12.2" + sources."@types/chai-4.2.3" + sources."@types/connect-3.4.32" + sources."@types/events-3.0.0" + sources."@types/express-4.17.1" + sources."@types/express-serve-static-core-4.16.9" + sources."@types/is-stream-1.1.0" + sources."@types/mime-2.0.1" + sources."@types/mocha-5.2.7" + sources."@types/nedb-1.8.9" + sources."@types/node-12.7.11" + sources."@types/node-emoji-1.8.1" + sources."@types/p-queue-2.3.2" + sources."@types/randomstring-1.1.6" + sources."@types/range-parser-1.2.3" + sources."@types/request-2.48.3" + sources."@types/request-promise-native-1.0.17" + sources."@types/retry-0.12.0" + sources."@types/serve-static-1.13.3" + sources."@types/tough-cookie-2.3.5" + sources."@types/uuid-3.4.5" + sources."@types/ws-5.1.2" + sources."@types/yargs-13.0.3" + sources."@types/yargs-parser-13.1.0" + sources."Slackdown-git://github.com/Half-Shot/slackdown#efd8934a3d9c3bf0064c0b217c5cf6b62ee697e4" + sources."abbrev-1.1.1" + sources."accepts-1.3.7" + sources."ajv-6.10.2" + sources."another-json-0.2.0" + sources."ansi-colors-3.2.3" + sources."ansi-regex-4.1.0" + sources."ansi-styles-3.2.1" + sources."arg-4.1.1" + sources."argparse-1.0.10" + sources."array-flatten-1.1.1" + sources."array-uniq-1.0.2" + sources."asn1-0.2.4" + sources."assert-options-0.6.0" + sources."assert-plus-1.0.0" + sources."assertion-error-1.1.0" + sources."async-0.2.10" + sources."async-limiter-1.0.1" + sources."asynckit-0.4.0" + sources."aws-sign2-0.7.0" + sources."aws4-1.8.0" + sources."axios-0.18.1" + sources."babel-runtime-6.26.0" + sources."balanced-match-1.0.0" + sources."base-x-3.0.7" + sources."basic-auth-2.0.1" + sources."bcrypt-pbkdf-1.0.2" + sources."binary-search-tree-0.2.5" + sources."bintrees-1.0.1" + sources."bluebird-2.11.0" + (sources."body-parser-1.19.0" // { + dependencies = [ + sources."debug-2.6.9" + ]; + }) + sources."brace-expansion-1.1.11" + sources."browser-request-0.3.3" + sources."browser-stdout-1.3.1" + sources."bs58-4.0.1" + sources."buffer-from-1.1.1" + sources."buffer-writer-2.0.0" + sources."builtin-modules-1.1.1" + sources."bytes-3.1.0" + sources."camelcase-5.3.1" + sources."caseless-0.12.0" + sources."chai-4.2.0" + (sources."chalk-2.4.2" // { + dependencies = [ + sources."escape-string-regexp-1.0.5" + ]; + }) + sources."check-error-1.0.2" + sources."cliui-5.0.0" + sources."color-3.0.0" + sources."color-convert-1.9.3" + sources."color-name-1.1.3" + sources."color-string-1.5.3" + sources."colornames-1.1.1" + sources."colors-1.4.0" + sources."colorspace-1.1.2" + sources."combined-stream-1.0.8" + sources."commander-2.20.1" + sources."concat-map-0.0.1" + sources."content-disposition-0.5.3" + sources."content-type-1.0.4" + sources."cookie-0.4.0" + sources."cookie-signature-1.0.6" + sources."core-js-2.6.9" + sources."core-util-is-1.0.2" + sources."cycle-1.0.3" + sources."dashdash-1.14.1" + sources."debug-3.1.0" + sources."decamelize-1.2.0" + sources."deep-eql-3.0.1" + sources."define-properties-1.1.3" + sources."delayed-stream-1.0.0" + sources."depd-1.1.2" + sources."destroy-1.0.4" + sources."diagnostics-1.1.1" + sources."diff-3.5.0" + sources."ecc-jsbn-0.1.2" + sources."ee-first-1.1.1" + sources."emoji-regex-7.0.3" + sources."enabled-1.0.2" + sources."encodeurl-1.0.2" + sources."env-variable-0.0.5" + sources."es-abstract-1.15.0" + sources."es-to-primitive-1.2.0" + sources."escape-html-1.0.3" + sources."escape-string-regexp-2.0.0" + sources."esprima-4.0.1" + sources."esutils-2.0.3" + sources."etag-1.8.1" + sources."eventemitter3-3.1.2" + (sources."express-4.17.1" // { + dependencies = [ + sources."debug-2.6.9" + ]; + }) + sources."extend-3.0.2" + sources."extsprintf-1.3.0" + sources."fast-deep-equal-2.0.1" + sources."fast-json-stable-stringify-2.0.0" + sources."fast-safe-stringify-2.0.7" + sources."fecha-2.3.3" + sources."file-stream-rotator-0.4.1" + (sources."finalhandler-1.1.2" // { + dependencies = [ + sources."debug-2.6.9" + ]; + }) + sources."find-up-3.0.0" + sources."finity-0.5.4" + sources."flat-4.1.0" + sources."follow-redirects-1.5.10" + sources."forever-agent-0.6.1" + sources."form-data-2.5.1" + sources."forwarded-0.1.2" + sources."fresh-0.5.2" + sources."fs.realpath-1.0.0" + sources."function-bind-1.1.1" + sources."generate-function-2.3.1" + sources."generate-object-property-1.2.0" + sources."get-caller-file-2.0.5" + sources."get-func-name-2.0.0" + sources."getpass-0.1.7" + sources."glob-7.1.3" + sources."growl-1.10.5" + sources."har-schema-2.0.0" + sources."har-validator-5.1.3" + sources."has-1.0.3" + sources."has-flag-3.0.0" + sources."has-symbols-1.0.0" + sources."he-1.2.0" + sources."http-errors-1.7.2" + sources."http-signature-1.2.0" + sources."iconv-lite-0.4.24" + sources."immediate-3.0.6" + sources."inflight-1.0.6" + sources."inherits-2.0.3" + sources."ipaddr.js-1.9.0" + sources."is-arrayish-0.3.2" + sources."is-buffer-2.0.4" + sources."is-callable-1.1.4" + sources."is-date-object-1.0.1" + sources."is-fullwidth-code-point-2.0.0" + sources."is-my-ip-valid-1.0.0" + sources."is-my-json-valid-2.20.0" + sources."is-property-1.0.2" + sources."is-regex-1.0.4" + sources."is-stream-1.1.0" + sources."is-symbol-1.0.2" + sources."is-typedarray-1.0.0" + sources."isarray-1.0.0" + sources."isexe-2.0.0" + sources."isstream-0.1.2" + sources."js-tokens-4.0.0" + sources."js-yaml-3.13.1" + sources."jsbn-0.1.1" + sources."json-schema-0.2.3" + sources."json-schema-traverse-0.4.1" + sources."json-stringify-safe-5.0.1" + sources."jsonpointer-4.0.1" + sources."jsprim-1.4.1" + sources."kuler-1.0.1" + sources."lie-3.1.1" + sources."localforage-1.7.3" + sources."locate-path-3.0.0" + sources."lodash-4.17.15" + sources."lodash.toarray-4.4.0" + sources."log-symbols-2.2.0" + (sources."logform-2.1.2" // { + dependencies = [ + sources."ms-2.1.2" + ]; + }) + sources."loglevel-1.6.1" + sources."make-error-1.3.5" + sources."manakin-0.5.2" + sources."matrix-appservice-0.3.5" + sources."matrix-appservice-bridge-1.10.3" + (sources."matrix-js-sdk-2.4.1" // { + dependencies = [ + sources."bluebird-3.7.0" + ]; + }) + sources."media-typer-0.3.0" + sources."merge-descriptors-1.0.1" + sources."methods-1.1.2" + sources."mime-1.6.0" + sources."mime-db-1.40.0" + sources."mime-types-2.1.24" + sources."minimatch-3.0.4" + sources."minimist-1.2.0" + (sources."mkdirp-0.5.1" // { + dependencies = [ + sources."minimist-0.0.8" + ]; + }) + (sources."mocha-6.2.1" // { + dependencies = [ + sources."debug-3.2.6" + sources."escape-string-regexp-1.0.5" + sources."ms-2.1.1" + sources."supports-color-6.0.0" + ]; + }) + sources."moment-2.24.0" + (sources."morgan-1.9.1" // { + dependencies = [ + sources."debug-2.6.9" + ]; + }) + sources."ms-2.0.0" + sources."nedb-1.8.0" + sources."negotiator-0.6.2" + sources."node-emoji-1.10.0" + (sources."node-environment-flags-1.0.5" // { + dependencies = [ + sources."semver-5.7.1" + ]; + }) + sources."nopt-3.0.6" + sources."oauth-sign-0.9.0" + sources."object-hash-1.3.1" + sources."object-inspect-1.6.0" + sources."object-keys-1.1.1" + sources."object.assign-4.1.0" + sources."object.getownpropertydescriptors-2.0.3" + sources."on-finished-2.3.0" + sources."on-headers-1.0.2" + sources."once-1.4.0" + sources."one-time-0.0.4" + sources."p-cancelable-1.1.0" + sources."p-finally-1.0.0" + sources."p-limit-2.2.1" + sources."p-locate-3.0.0" + (sources."p-queue-6.1.1" // { + dependencies = [ + sources."eventemitter3-4.0.0" + ]; + }) + sources."p-retry-4.1.0" + sources."p-timeout-3.2.0" + sources."p-try-2.2.0" + sources."packet-reader-1.0.0" + sources."parseurl-1.3.3" + sources."path-exists-3.0.0" + sources."path-is-absolute-1.0.1" + sources."path-parse-1.0.6" + sources."path-to-regexp-0.1.7" + sources."pathval-1.1.0" + sources."performance-now-2.1.0" + sources."pg-7.12.1" + sources."pg-connection-string-0.1.3" + sources."pg-int8-1.0.1" + sources."pg-minify-1.5.1" + sources."pg-pool-2.0.7" + sources."pg-promise-9.3.3" + sources."pg-types-2.2.0" + sources."pgpass-1.0.2" + sources."postgres-array-2.0.0" + sources."postgres-bytea-1.0.0" + sources."postgres-date-1.0.4" + sources."postgres-interval-1.2.0" + sources."process-nextick-args-2.0.1" + sources."prom-client-11.5.3" + sources."proxy-addr-2.0.5" + sources."psl-1.4.0" + sources."punycode-2.1.1" + sources."qs-6.7.0" + sources."quick-lru-4.0.1" + sources."randomstring-1.1.5" + sources."range-parser-1.2.1" + sources."raw-body-2.4.0" + sources."readable-stream-3.4.0" + sources."regenerator-runtime-0.11.1" + (sources."request-2.88.0" // { + dependencies = [ + sources."form-data-2.3.3" + sources."qs-6.5.2" + ]; + }) + sources."request-promise-core-1.1.2" + sources."request-promise-native-1.0.7" + sources."require-directory-2.1.1" + sources."require-main-filename-2.0.0" + sources."resolve-1.12.0" + sources."retry-0.12.0" + sources."safe-buffer-5.1.2" + sources."safer-buffer-2.1.2" + sources."semver-4.3.2" + (sources."send-0.17.1" // { + dependencies = [ + (sources."debug-2.6.9" // { + dependencies = [ + sources."ms-2.0.0" + ]; + }) + sources."ms-2.1.1" + ]; + }) + sources."serve-static-1.14.1" + sources."set-blocking-2.0.0" + sources."setprototypeof-1.1.1" + sources."simple-swizzle-0.2.2" + sources."source-map-0.6.1" + sources."source-map-support-0.5.13" + sources."spex-3.0.0" + sources."split-1.0.1" + sources."sprintf-js-1.0.3" + sources."sshpk-1.16.1" + sources."stack-trace-0.0.10" + sources."statuses-1.5.0" + sources."stealthy-require-1.1.1" + sources."string-width-3.1.0" + sources."string.prototype.trimleft-2.1.0" + sources."string.prototype.trimright-2.1.0" + (sources."string_decoder-1.3.0" // { + dependencies = [ + sources."safe-buffer-5.2.0" + ]; + }) + sources."strip-ansi-5.2.0" + sources."strip-json-comments-2.0.1" + sources."supports-color-5.5.0" + sources."tdigest-0.1.1" + sources."text-hex-1.0.0" + sources."through-2.3.8" + sources."toidentifier-1.0.0" + (sources."tough-cookie-2.4.3" // { + dependencies = [ + sources."punycode-1.4.1" + ]; + }) + sources."triple-beam-1.3.0" + (sources."ts-node-8.4.1" // { + dependencies = [ + sources."diff-4.0.1" + ]; + }) + sources."tslib-1.10.0" + (sources."tslint-5.20.0" // { + dependencies = [ + sources."diff-4.0.1" + sources."semver-5.7.1" + ]; + }) + sources."tsutils-2.29.0" + sources."tunnel-agent-0.6.0" + sources."tweetnacl-0.14.5" + sources."type-detect-4.0.8" + sources."type-is-1.6.18" + sources."typescript-3.6.3" + sources."underscore-1.4.4" + sources."unhomoglyph-1.0.2" + sources."unpipe-1.0.0" + sources."uri-js-4.2.2" + sources."util-deprecate-1.0.2" + sources."utils-merge-1.0.1" + sources."uuid-3.3.3" + sources."vary-1.1.2" + sources."verror-1.10.0" + sources."which-1.3.1" + sources."which-module-2.0.0" + (sources."wide-align-1.1.3" // { + dependencies = [ + sources."ansi-regex-3.0.0" + sources."string-width-2.1.1" + sources."strip-ansi-4.0.0" + ]; + }) + (sources."winston-3.2.1" // { + dependencies = [ + sources."async-2.6.3" + ]; + }) + (sources."winston-compat-0.1.4" // { + dependencies = [ + sources."logform-1.10.0" + sources."ms-2.1.2" + ]; + }) + (sources."winston-daily-rotate-file-3.10.0" // { + dependencies = [ + sources."semver-6.3.0" + ]; + }) + (sources."winston-transport-4.3.0" // { + dependencies = [ + sources."readable-stream-2.3.6" + sources."string_decoder-1.1.1" + ]; + }) + sources."wrap-ansi-5.1.0" + sources."wrappy-1.0.2" + sources."ws-5.2.2" + sources."xtend-4.0.2" + sources."y18n-4.0.0" + sources."yargs-13.3.0" + sources."yargs-parser-13.1.1" + sources."yargs-unparser-1.6.0" + sources."yn-3.1.1" + ]; + buildInputs = globalBuildInputs; + meta = { + description = "A Matrix <--> Slack bridge"; + homepage = "https://github.com/matrix-org/matrix-appservice-slack#readme"; + license = "Apache-2.0"; + }; + production = false; + bypassCache = true; + reconstructLock = true; + }; +}
\ No newline at end of file diff --git a/nixpkgs/pkgs/servers/matrix-synapse/matrix-appservice-slack/package.json b/nixpkgs/pkgs/servers/matrix-synapse/matrix-appservice-slack/package.json new file mode 100644 index 00000000000..72d259f26ce --- /dev/null +++ b/nixpkgs/pkgs/servers/matrix-synapse/matrix-appservice-slack/package.json @@ -0,0 +1,3 @@ +[ + {"matrix-appservice-slack": "git+https://github.com/matrix-org/matrix-appservice-slack.git#1.0.1" } +] diff --git a/nixpkgs/pkgs/servers/miniflux/default.nix b/nixpkgs/pkgs/servers/miniflux/default.nix index df3022536ea..96f09c2f82a 100644 --- a/nixpkgs/pkgs/servers/miniflux/default.nix +++ b/nixpkgs/pkgs/servers/miniflux/default.nix @@ -2,13 +2,13 @@ buildGoPackage rec { pname = "miniflux"; - version = "2.0.17"; + version = "2.0.18"; src = fetchFromGitHub { owner = pname; repo = pname; rev = version; - sha256 = "0bvlsa3ymhdl35bkv2v8lzkbjanyg7y474kbpbabmhwh5lvzgxlm"; + sha256 = "0480f4481qf47l3l79f4gxhgfzzhfapjvl18kw9qjj3rzqn9xyj4"; }; goPackagePath = "miniflux.app"; diff --git a/nixpkgs/pkgs/servers/monitoring/grafana/default.nix b/nixpkgs/pkgs/servers/monitoring/grafana/default.nix index 658c7ad816e..fa414fec843 100644 --- a/nixpkgs/pkgs/servers/monitoring/grafana/default.nix +++ b/nixpkgs/pkgs/servers/monitoring/grafana/default.nix @@ -2,7 +2,7 @@ buildGoPackage rec { pname = "grafana"; - version = "6.4.2"; + version = "6.4.3"; goPackagePath = "github.com/grafana/grafana"; @@ -12,12 +12,12 @@ buildGoPackage rec { rev = "v${version}"; owner = "grafana"; repo = "grafana"; - sha256 = "12j0lksryggn7lg003ma2cnblclgzdw6b8rhz1wbq8d68m1mq5wv"; + sha256 = "0150s14yjgshncs94xf42yrz7awvw2x91j0j9v23fypqmlch2p3m"; }; srcStatic = fetchurl { url = "https://dl.grafana.com/oss/release/grafana-${version}.linux-amd64.tar.gz"; - sha256 = "0ch35h681yq5w7cpys0iiv3kva9hiqxzgk2gp0vcnadzmincwj7c"; + sha256 = "0gr9m05h8qx3g0818b0qf1w26pdir3c5ydgi9zwdhjkppsq14dq2"; }; postPatch = '' diff --git a/nixpkgs/pkgs/servers/monitoring/prometheus/default.nix b/nixpkgs/pkgs/servers/monitoring/prometheus/default.nix index e55d0018b88..86bd7f9d518 100644 --- a/nixpkgs/pkgs/servers/monitoring/prometheus/default.nix +++ b/nixpkgs/pkgs/servers/monitoring/prometheus/default.nix @@ -1,19 +1,16 @@ { lib, go, buildGoPackage, fetchFromGitHub }: -let - goPackagePath = "github.com/prometheus/prometheus"; -in buildGoPackage rec { pname = "prometheus"; - version = "2.12.0"; + version = "2.13.1"; - inherit goPackagePath; + goPackagePath = "github.com/prometheus/prometheus"; src = fetchFromGitHub { rev = "v${version}"; owner = "prometheus"; repo = "prometheus"; - sha256 = "1ci9dc512c1hry1b8jqif0mrnks6w3yagwm3jf69ihcwilr2n7vs"; + sha256 = "055qliv683b87dwj7pkprdpjgyp6s4s3cwvpbsl1gxidhlr4y69b"; }; buildFlagsArray = let diff --git a/nixpkgs/pkgs/servers/monitoring/prometheus/nextcloud-exporter.nix b/nixpkgs/pkgs/servers/monitoring/prometheus/nextcloud-exporter.nix index 61b891fa3a9..f3211280f7d 100644 --- a/nixpkgs/pkgs/servers/monitoring/prometheus/nextcloud-exporter.nix +++ b/nixpkgs/pkgs/servers/monitoring/prometheus/nextcloud-exporter.nix @@ -1,13 +1,13 @@ { lib, fetchFromGitHub, buildGoPackage }: -buildGoPackage { +buildGoPackage rec { pname = "prometheus-nextcloud-exporter"; - version = "unstable-2019-10-12"; + version = "0.1.0"; src = fetchFromGitHub { owner = "xperimental"; repo = "nextcloud-exporter"; - rev = "215c8b6b2daa3125798d883fe222bc419240e7ab"; + rev = "v${version}"; sha256 = "1xpc6q6zp92ckkyd24cfl65vyzjv60qwh44ys6mza4k6yrxhacv4"; }; diff --git a/nixpkgs/pkgs/servers/monitoring/prometheus/wireguard-exporter.nix b/nixpkgs/pkgs/servers/monitoring/prometheus/wireguard-exporter.nix index 249a3f8a089..0bfad9030a8 100644 --- a/nixpkgs/pkgs/servers/monitoring/prometheus/wireguard-exporter.nix +++ b/nixpkgs/pkgs/servers/monitoring/prometheus/wireguard-exporter.nix @@ -2,13 +2,13 @@ rustPlatform.buildRustPackage rec { pname = "wireguard-exporter"; - version = "3.1.1"; + version = "3.2.0"; src = fetchFromGitHub { owner = "MindFlavor"; repo = "prometheus_wireguard_exporter"; rev = version; - sha256 = "1cc36bngpjq8138f98d2dl0imgisiph7xmw1i7csmjs03b4pnfcx"; + sha256 = "0j6xcdbh6fl8z9zyl3rqnnxjkg30nigs9a6ndlbi2ycgmlnds55m"; }; cargoSha256 = "1ndb33bi08j40b4jkj4q7d3k0cw5fscz2gc2cc3134nbs2r7jamk"; diff --git a/nixpkgs/pkgs/servers/monitoring/zabbix/versions.nix b/nixpkgs/pkgs/servers/monitoring/zabbix/versions.nix index 248c05aab4f..21557634197 100644 --- a/nixpkgs/pkgs/servers/monitoring/zabbix/versions.nix +++ b/nixpkgs/pkgs/servers/monitoring/zabbix/versions.nix @@ -1,12 +1,12 @@ generic: { v42 = generic { - version = "4.2.6"; - sha256 = "0r08v9ka0v8d7w133yr09vaxzl5lhbrsvbx900x15qv8lwliysv4"; + version = "4.2.7"; + sha256 = "09znh8x1sass5mw6wjrfmizjbfls8ad2c16y24ldfj40hlfxz6wx"; }; v40 = generic { - version = "4.0.12"; - sha256 = "1jlbzlz0rzcjixicdcd7xcjhfqwr82hngpazx85f40r9dy574pjw"; + version = "4.0.13"; + sha256 = "1hz4sdj4nw8fh124dqd41ag2wv4rcp4snpxgk80nx76rssw6c9ny"; }; v30 = generic { diff --git a/nixpkgs/pkgs/servers/pulseaudio/default.nix b/nixpkgs/pkgs/servers/pulseaudio/default.nix index 13b5d4c3c9f..2ce78a048c4 100644 --- a/nixpkgs/pkgs/servers/pulseaudio/default.nix +++ b/nixpkgs/pkgs/servers/pulseaudio/default.nix @@ -1,5 +1,5 @@ -{ lib, stdenv, fetchurl, fetchpatch, pkgconfig, intltool, autoreconfHook -, libsndfile, libtool, makeWrapper +{ lib, stdenv, fetchurl, pkgconfig, autoreconfHook +, libsndfile, libtool, makeWrapper, perlPackages , xorg, libcap, alsaLib, glib, gnome3 , avahi, libjack2, libasyncns, lirc, dbus , sbc, bluez5, udev, openssl, fftwFloat @@ -31,16 +31,16 @@ stdenv.mkDerivation rec { name = "${if libOnly then "lib" else ""}pulseaudio-${version}"; - version = "12.2"; + version = "13.0"; src = fetchurl { url = "http://freedesktop.org/software/pulseaudio/releases/pulseaudio-${version}.tar.xz"; - sha256 = "0ma0p8iry7fil7qb4pm2nx2pm65kq9hk9xc4r5wkf14nqbzni5l0"; + sha256 = "0mw0ybrqj7hvf8lqs5gjzip464hfnixw453lr0mqzlng3b5266wn"; }; outputs = [ "out" "dev" ]; - nativeBuildInputs = [ pkgconfig intltool autoreconfHook makeWrapper ]; + nativeBuildInputs = [ pkgconfig autoreconfHook makeWrapper perlPackages.perl perlPackages.XMLParser ]; propagatedBuildInputs = lib.optionals stdenv.isLinux [ libcap ]; @@ -61,25 +61,10 @@ stdenv.mkDerivation rec { ++ lib.optional zeroconfSupport avahi ); - patches = [ - # The following two patches fix alsalib headers move, remove after the next release - (fetchpatch { - name = "alsa-asoundlib-include.patch"; - url = "https://gitlab.freedesktop.org/pulseaudio/pulseaudio/commit/993d3fd89e5611997f1e165bf03edefb0204b0a4.patch"; - sha256 = "17icnf8026947j1dqw4k16f91vy6zyg7q41zv2j6pxh9fncb1s71"; - }) - (fetchpatch { - name = "alsa-use-case-include.patch"; - url = "https://gitlab.freedesktop.org/pulseaudio/pulseaudio/commit/b89d33bb182c42db5ad3987b0e91b7bf62f421e8.patch"; - sha256 = "0jccpc0dgkb0v4xrkyca2pm2k4i6pvahs9bq4hbg34173p23g5nb"; - }) - ]; - - preConfigure = '' - # Performs and autoreconf - export NOCONFIGURE="yes" + autoreconfPhase = '' + # Performs an autoreconf patchShebangs bootstrap.sh - ./bootstrap.sh + NOCONFIGURE=1 ./bootstrap.sh # Move the udev rules under $(prefix). sed -i "src/Makefile.in" \ @@ -99,11 +84,11 @@ stdenv.mkDerivation rec { [ "--localstatedir=/var" "--sysconfdir=/etc" "--with-access-group=audio" - "--with-bash-completion-dir=\${out}/share/bash-completions/completions" + "--with-bash-completion-dir=${placeholder "out"}/share/bash-completions/completions" ] ++ lib.optional (jackaudioSupport && !libOnly) "--enable-jack" ++ lib.optional stdenv.isDarwin "--with-mac-sysroot=/" - ++ lib.optional (stdenv.isLinux && useSystemd) "--with-systemduserunitdir=\${out}/lib/systemd/user"; + ++ lib.optional (stdenv.isLinux && useSystemd) "--with-systemduserunitdir=${placeholder "out"}/lib/systemd/user"; enableParallelBuilding = true; @@ -115,8 +100,8 @@ stdenv.mkDerivation rec { NIX_CFLAGS_COMPILE = lib.optionalString stdenv.isDarwin "-I/usr/include"; installFlags = - [ "sysconfdir=$(out)/etc" - "pulseconfdir=$(out)/etc/pulse" + [ "sysconfdir=${placeholder "out"}/etc" + "pulseconfdir=${placeholder "out"}/etc/pulse" ]; postInstall = lib.optionalString libOnly '' diff --git a/nixpkgs/pkgs/servers/teleport/default.nix b/nixpkgs/pkgs/servers/teleport/default.nix index 3a83f1a08c2..dc92a10fe24 100644 --- a/nixpkgs/pkgs/servers/teleport/default.nix +++ b/nixpkgs/pkgs/servers/teleport/default.nix @@ -3,14 +3,14 @@ buildGoPackage rec { pname = "teleport"; - version = "4.0.4"; + version = "4.1.0"; # This repo has a private submodule "e" which fetchgit cannot handle without failing. src = fetchFromGitHub { owner = "gravitational"; repo = "teleport"; rev = "v${version}"; - sha256 = "1ady9nh1mi1lb9a868w6ylncz2r6x7mk33ajiymn2frpcwk9m2l9"; + sha256 = "1yj4z9lzvwvv566d8c4351xj23vmw8zh9scx87dlf0qzqxri91wk"; }; goPackagePath = "github.com/gravitational/teleport"; @@ -35,7 +35,7 @@ buildGoPackage rec { description = "A SSH CA management suite"; homepage = "https://gravitational.com/teleport/"; license = stdenv.lib.licenses.asl20; - maintainers = [ stdenv.lib.maintainers.tomberek ]; + maintainers = with stdenv.lib.maintainers; [ sigma tomberek ]; platforms = stdenv.lib.platforms.unix; }; } diff --git a/nixpkgs/pkgs/servers/web-apps/fileshelter/default.nix b/nixpkgs/pkgs/servers/web-apps/fileshelter/default.nix index d7510e7d1e0..47184fe9c96 100644 --- a/nixpkgs/pkgs/servers/web-apps/fileshelter/default.nix +++ b/nixpkgs/pkgs/servers/web-apps/fileshelter/default.nix @@ -1,31 +1,31 @@ -{ stdenv, fetchFromGitHub, autoreconfHook, libzip, boost, wt3, libconfig, pkgconfig } : +{ stdenv, fetchFromGitHub, autoreconfHook, libzip, boost, wt4, libconfig, pkgconfig } : stdenv.mkDerivation rec { pname = "fileshelter"; - version = "3.0.0"; + version = "4.0.1"; src = fetchFromGitHub { owner = "epoupon"; repo = "fileshelter"; rev = "v${version}"; - sha256 = "1n9hrls3l9gf8wfz6m9bylma1b1hdvdqsksv2dlp1zdgjdzv200b"; + sha256 = "07n70wwqj7lqdxs3wya1m8bwg8l6lgmmlfpwyv3r3s4dfzb1b3ka"; }; enableParallelBuilding = true; nativeBuildInputs = [ autoreconfHook pkgconfig ]; - buildInputs = [ libzip boost wt3 libconfig ]; + buildInputs = [ libzip boost wt4 libconfig ]; NIX_LDFLAGS = [ "-lpthread" ]; postInstall = '' - ln -s ${wt3}/share/Wt/resources $out/share/fileshelter/docroot/resources + ln -s ${wt4}/share/Wt/resources $out/share/fileshelter/docroot/resources ''; meta = with stdenv.lib; { - homepage = https://github.com/epoupon/fileshelter; + homepage = "https://github.com/epoupon/fileshelter"; description = "FileShelter is a 'one-click' file sharing web application"; maintainers = [ maintainers.willibutz ]; license = licenses.gpl3; diff --git a/nixpkgs/pkgs/servers/web-apps/mediawiki/default.nix b/nixpkgs/pkgs/servers/web-apps/mediawiki/default.nix index e526afe661c..490459f2e25 100644 --- a/nixpkgs/pkgs/servers/web-apps/mediawiki/default.nix +++ b/nixpkgs/pkgs/servers/web-apps/mediawiki/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { pname = "mediawiki"; - version = "1.33.0"; + version = "1.33.1"; src = with stdenv.lib; fetchurl { url = "https://releases.wikimedia.org/mediawiki/${versions.majorMinor version}/${pname}-${version}.tar.gz"; - sha256 = "0rydzmr64r3p5n6g8v9rifk277z1v31p82s8ka8xap8cfkca4dc3"; + sha256 = "19x10vsgg2fhcpmfvdswwilqwa65byrsmzvhk2v8bsxzhp6s95vx"; }; prePatch = '' diff --git a/nixpkgs/pkgs/servers/x11/xorg/overrides.nix b/nixpkgs/pkgs/servers/x11/xorg/overrides.nix index 10cbca832b4..e6a94daee10 100644 --- a/nixpkgs/pkgs/servers/x11/xorg/overrides.nix +++ b/nixpkgs/pkgs/servers/x11/xorg/overrides.nix @@ -79,6 +79,13 @@ self: super: libX11 = super.libX11.overrideAttrs (attrs: { outputs = [ "out" "dev" "man" ]; + patches = [ + # Fixes an issue that happens when cross-compiling for us. + (fetchpatch { + url = "https://cgit.freedesktop.org/xorg/lib/libX11/patch/?id=0327c427d62f671eced067c6d9b69f4e216a8cac"; + sha256 = "11k2mx56hjgw886zf1cdf2nhv7052d5rggimfshg6lq20i38vpza"; + }) + ]; configureFlags = attrs.configureFlags or [] ++ malloc0ReturnsNullCrossFlag; depsBuildBuild = [ buildPackages.stdenv.cc ]; diff --git a/nixpkgs/pkgs/servers/zookeeper/default.nix b/nixpkgs/pkgs/servers/zookeeper/default.nix index 44b96d4209a..e4584535901 100644 --- a/nixpkgs/pkgs/servers/zookeeper/default.nix +++ b/nixpkgs/pkgs/servers/zookeeper/default.nix @@ -19,14 +19,13 @@ stdenv.mkDerivation rec { mkdir -p $out/bin cp -R bin/{zkCli,zkCleanup,zkEnv,zkServer}.sh $out/bin patchShebangs $out/bin + substituteInPlace $out/bin/zkServer.sh \ + --replace /bin/echo ${coreutils}/bin/echo for i in $out/bin/{zkCli,zkCleanup,zkServer}.sh; do wrapProgram $i \ --set JAVA_HOME "${jre}" \ --prefix PATH : "${bash}/bin" done - substituteInPlace $out/bin/zkServer.sh \ - --replace /bin/echo ${coreutils}/bin/echo \ - --replace "/usr/bin/env bash" ${bash}/bin/bash chmod -x $out/bin/zkEnv.sh mkdir -p $out/share/zooinspector diff --git a/nixpkgs/pkgs/shells/zsh/oh-my-zsh/default.nix b/nixpkgs/pkgs/shells/zsh/oh-my-zsh/default.nix index 176d7dfafeb..6ebe81dc9f8 100644 --- a/nixpkgs/pkgs/shells/zsh/oh-my-zsh/default.nix +++ b/nixpkgs/pkgs/shells/zsh/oh-my-zsh/default.nix @@ -4,13 +4,13 @@ { stdenv, fetchgit }: stdenv.mkDerivation rec { - version = "2019-10-10"; + version = "2019-10-19"; pname = "oh-my-zsh"; - rev = "52f58785645c18aa88ea515d070a42bcfe97508d"; + rev = "05dfd0ae8520e58e852c574f55c83fe6f49fb189"; src = fetchgit { inherit rev; url = "https://github.com/robbyrussell/oh-my-zsh"; - sha256 = "0sxj077g8jh9v0n3zzrkihmgx7v5dyv4h6nrpk1c5ijjk9j7x9d5"; + sha256 = "1ky926ih9b56xgh8xp8dsnzjliwzd8rr0cqn4wjf74z42bg2cnw0"; }; pathsToLink = [ "/share/oh-my-zsh" ]; diff --git a/nixpkgs/pkgs/shells/zsh/zsh-history/0001-Fix-path-marshalling-when-saveing-config.patch b/nixpkgs/pkgs/shells/zsh/zsh-history/0001-Fix-path-marshalling-when-saveing-config.patch new file mode 100644 index 00000000000..0c4fd22c54f --- /dev/null +++ b/nixpkgs/pkgs/shells/zsh/zsh-history/0001-Fix-path-marshalling-when-saveing-config.patch @@ -0,0 +1,25 @@ +From efc16fbe7e41784f218d9c6cb4239b209cd77214 Mon Sep 17 00:00:00 2001 +From: Christian Kampka <christian@kampka.net> +Date: Sat, 12 Oct 2019 21:47:47 +0200 +Subject: [PATCH 1/2] Fix path marshalling when saveing config + +--- + config/config.go | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +diff --git a/config/config.go b/config/config.go +index 69a10c5..b5c61fe 100644 +--- a/config/config.go ++++ b/config/config.go +@@ -26,7 +26,7 @@ func (p *Path) UnmarshalText(text []byte) error { + return nil + } + +-func (p *Path) MarshalText() (text []byte, err error) { ++func (p Path) MarshalText() (text []byte, err error) { + return []byte(p.path), nil + } + +-- +2.19.2 + diff --git a/nixpkgs/pkgs/shells/zsh/zsh-history/default.nix b/nixpkgs/pkgs/shells/zsh/zsh-history/default.nix new file mode 100644 index 00000000000..6bbcdac2c17 --- /dev/null +++ b/nixpkgs/pkgs/shells/zsh/zsh-history/default.nix @@ -0,0 +1,36 @@ +{ lib, fetchFromGitHub, buildGoModule, installShellFiles }: + +buildGoModule rec { + pname = "zsh-history"; + version = "2019-10-07"; + + src = fetchFromGitHub { + owner = "b4b4r07"; + repo = "history"; + rev = "a08ad2dcffc852903ae54b0c5704b8a085009ef7"; + sha256 = "0r3p04my40dagsq1dssnk583qrlcps9f7ajp43z7mq73q3hrya5s"; + }; + + patches = [ + ./0001-Fix-path-marshalling-when-saveing-config.patch + ]; + + nativeBuildInputs = [ installShellFiles ]; + + modSha256 = "0f10b86gyn7m7lw43c8y1m30mdg0i092a319v3cb2qj05jb9vn42"; + goPackagePath = "github.com/b4b4r07/history"; + + postInstall = '' + install -d $out/share + cp -r "$NIX_BUILD_TOP/source/misc/"* "$out/share" + installShellCompletion --zsh --name _history $out/share/zsh/completions/_history + ''; + + meta = with lib; { + description = "A CLI to provide enhanced history for your ZSH shell"; + license = licenses.mit; + homepage = https://github.com/b4b4r07/history; + platforms = platforms.unix; + maintainers = with maintainers; [ kampka ]; + }; +} diff --git a/nixpkgs/pkgs/shells/zsh/zsh-you-should-use/default.nix b/nixpkgs/pkgs/shells/zsh/zsh-you-should-use/default.nix index 39e1cedc7d0..becc4c57e4b 100644 --- a/nixpkgs/pkgs/shells/zsh/zsh-you-should-use/default.nix +++ b/nixpkgs/pkgs/shells/zsh/zsh-you-should-use/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "zsh-you-should-use"; - version = "1.4.0"; + version = "1.6.0"; src = fetchFromGitHub { owner = "MichaelAquilina"; repo = pname; rev = version; - sha256 = "1n0mcgahx40acqjj617k0rhqpzjqjaa9xfs4b1xrjp3qdy9s0ns0"; + sha256 = "1xzq7xmmx4rg53pd69d0s9n561q4z35hlbb2sq2xd76gk3x6fars"; }; dontBuild = true; diff --git a/nixpkgs/pkgs/stdenv/generic/setup.sh b/nixpkgs/pkgs/stdenv/generic/setup.sh index 311292169ec..0962a1ec2fc 100644 --- a/nixpkgs/pkgs/stdenv/generic/setup.sh +++ b/nixpkgs/pkgs/stdenv/generic/setup.sh @@ -17,7 +17,8 @@ fi # code). The hooks for <hookName> are the shell function or variable # <hookName>, and the values of the shell array ‘<hookName>Hooks’. runHook() { - local oldOpts="$(shopt -po nounset)" + local oldOpts="-u" + shopt -qo nounset || oldOpts="+u" set -u # May be called from elsewhere, so do `set -u`. local hookName="$1" @@ -32,7 +33,7 @@ runHook() { set -u # To balance `_eval` done - eval "${oldOpts}" + set "$oldOpts" return 0 } @@ -40,7 +41,8 @@ runHook() { # Run all hooks with the specified name, until one succeeds (returns a # zero exit code). If none succeed, return a non-zero exit code. runOneHook() { - local oldOpts="$(shopt -po nounset)" + local oldOpts="-u" + shopt -qo nounset || oldOpts="+u" set -u # May be called from elsewhere, so do `set -u`. local hookName="$1" @@ -57,7 +59,7 @@ runOneHook() { set -u # To balance `_eval` done - eval "${oldOpts}" + set "$oldOpts" return "$ret" } @@ -71,21 +73,18 @@ _callImplicitHook() { set -u local def="$1" local hookName="$2" - case "$(type -t "$hookName")" in - (function|alias|builtin) - set +u - "$hookName";; - (file) - set +u - source "$hookName";; - (keyword) :;; - (*) if [ -z "${!hookName:-}" ]; then - return "$def"; - else - set +u - eval "${!hookName}" - fi;; - esac + if declare -F "$hookName" > /dev/null; then + set +u + "$hookName" + elif type -p "$hookName" > /dev/null; then + set +u + source "$hookName" + elif [ -n "${!hookName:-}" ]; then + set +u + eval "${!hookName}" + else + return "$def" + fi # `_eval` expects hook to need nounset disable and leave it # disabled anyways, so Ok to to delegate. The alternative of a # return trap is no good because it would affect nested returns. @@ -96,7 +95,7 @@ _callImplicitHook() { # hooks exits the hook, not the caller. Also will only pass args if # command can take them _eval() { - if [ "$(type -t "$1")" = function ]; then + if declare -F "$1" > /dev/null 2>&1; then set +u "$@" # including args else @@ -212,18 +211,6 @@ isELF() { if [ "$magic" = $'\177ELF' ]; then return 0; else return 1; fi } -# Return success if the specified file is an ELF object -# and its e_type is ET_EXEC (executable file) -isELFExec() { - grep -ao -P '^\177ELF.{11}\x00\x02' "$1" >/dev/null -} - -# Return success if the specified file is an ELF object -# and its e_type is ET_DYN (shared object file) -isELFDyn() { - grep -ao -P '^\177ELF.{11}\x00\x03' "$1" >/dev/null -} - # Return success if the specified file is a script (i.e. starts with # "#!"). isScript() { @@ -401,6 +388,7 @@ findInputs() { # The current package's host and target offset together # provide a <=-preserving homomorphism from the relative # offsets to current offset + local -i mapOffsetResult function mapOffset() { local -ri inputOffset="$1" if (( "$inputOffset" <= 0 )); then @@ -408,7 +396,7 @@ findInputs() { else local -ri outputOffset="$inputOffset - 1 + $targetOffset" fi - echo "$outputOffset" + mapOffsetResult="$outputOffset" } # Host offset relative to that of the package whose immediate @@ -420,8 +408,8 @@ findInputs() { # Host offset relative to the package currently being # built---as absolute an offset as will be used. - local -i hostOffsetNext - hostOffsetNext="$(mapOffset relHostOffset)" + mapOffset relHostOffset + local -i hostOffsetNext="$mapOffsetResult" # Ensure we're in bounds relative to the package currently # being built. @@ -439,8 +427,8 @@ findInputs() { # Target offset relative to the package currently being # built. - local -i targetOffsetNext - targetOffsetNext="$(mapOffset relTargetOffset)" + mapOffset relTargetOffset + local -i targetOffsetNext="$mapOffsetResult" # Once again, ensure we're in bounds relative to the # package currently being built. @@ -449,7 +437,8 @@ findInputs() { [[ -f "$pkg/nix-support/$file" ]] || continue local pkgNext - for pkgNext in $(< "$pkg/nix-support/$file"); do + read -r -d '' pkgNext < "$pkg/nix-support/$file" || true + for pkgNext in $pkgNext; do findInputs "$pkgNext" "$hostOffsetNext" "$targetOffsetNext" done done @@ -500,10 +489,11 @@ activatePackage() { (( "$hostOffset" <= "$targetOffset" )) || exit -1 if [ -f "$pkg" ]; then - local oldOpts="$(shopt -po nounset)" + local oldOpts="-u" + shopt -qo nounset || oldOpts="+u" set +u source "$pkg" - eval "$oldOpts" + set "$oldOpts" fi # Only dependencies whose host platform is guaranteed to match the @@ -522,10 +512,11 @@ activatePackage() { fi if [[ -f "$pkg/nix-support/setup-hook" ]]; then - local oldOpts="$(shopt -po nounset)" + local oldOpts="-u" + shopt -qo nounset || oldOpts="+u" set +u source "$pkg/nix-support/setup-hook" - eval "$oldOpts" + set "$oldOpts" fi } @@ -1273,17 +1264,19 @@ showPhaseHeader() { genericBuild() { if [ -f "${buildCommandPath:-}" ]; then - local oldOpts="$(shopt -po nounset)" + local oldOpts="-u" + shopt -qo nounset || oldOpts="+u" set +u source "$buildCommandPath" - eval "$oldOpts" + set "$oldOpts" return fi if [ -n "${buildCommand:-}" ]; then - local oldOpts="$(shopt -po nounset)" + local oldOpts="-u" + shopt -qo nounset || oldOpts="+u" set +u eval "$buildCommand" - eval "$oldOpts" + set "$oldOpts" return fi @@ -1313,10 +1306,11 @@ genericBuild() { # Evaluate the variable named $curPhase if it exists, otherwise the # function named $curPhase. - local oldOpts="$(shopt -po nounset)" + local oldOpts="-u" + shopt -qo nounset || oldOpts="+u" set +u eval "${!curPhase:-$curPhase}" - eval "$oldOpts" + set "$oldOpts" if [ "$curPhase" = unpackPhase ]; then cd "${sourceRoot:-.}" diff --git a/nixpkgs/pkgs/tools/X11/screen-message/default.nix b/nixpkgs/pkgs/tools/X11/screen-message/default.nix index 8b4a1e19572..c57c4f82431 100644 --- a/nixpkgs/pkgs/tools/X11/screen-message/default.nix +++ b/nixpkgs/pkgs/tools/X11/screen-message/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchurl, autoreconfHook, pkgconfig, gtk3, hicolor-icon-theme }: +{ stdenv, fetchurl, autoreconfHook, pkgconfig, gtk3 }: stdenv.mkDerivation rec { pname = "screen-message"; @@ -10,7 +10,7 @@ stdenv.mkDerivation rec { }; nativeBuildInputs = [ autoreconfHook pkgconfig ]; - buildInputs = [ gtk3 hicolor-icon-theme ]; + buildInputs = [ gtk3 ]; # screen-message installs its binary in $(prefix)/games per default makeFlags = [ "execgamesdir=$(out)/bin" ]; diff --git a/nixpkgs/pkgs/tools/admin/acme.sh/default.nix b/nixpkgs/pkgs/tools/admin/acme.sh/default.nix index 6e89421d390..cdf58bc0215 100644 --- a/nixpkgs/pkgs/tools/admin/acme.sh/default.nix +++ b/nixpkgs/pkgs/tools/admin/acme.sh/default.nix @@ -1,13 +1,13 @@ { stdenv, lib, fetchFromGitHub, makeWrapper, curl, openssl, socat, iproute, unixtools }: stdenv.mkDerivation rec { pname = "acme.sh"; - version = "2.8.2"; + version = "2.8.3"; src = fetchFromGitHub { owner = "Neilpang"; repo = "acme.sh"; rev = version; - sha256 = "07bq6axgq33djp87kkx7c5cv8n80gclvj247n9j514zqly6abdxy"; + sha256 = "0j9wn61jd107fq9ww79399s7adql0vf897s0xavlvv6chq8a6ajj"; }; nativeBuildInputs = [ makeWrapper ]; diff --git a/nixpkgs/pkgs/tools/admin/awscli/default.nix b/nixpkgs/pkgs/tools/admin/awscli/default.nix index 33526ba11df..7e3e0c9fff0 100644 --- a/nixpkgs/pkgs/tools/admin/awscli/default.nix +++ b/nixpkgs/pkgs/tools/admin/awscli/default.nix @@ -38,7 +38,7 @@ in py.pkgs.buildPythonApplication rec { # No tests included doCheck = false; - propagatedBuildInputs = with py.pkgs; [ + pythonPath = with py.pkgs; [ botocore bcdoc s3transfer @@ -49,6 +49,10 @@ in py.pkgs.buildPythonApplication rec { pyyaml groff less + urllib3 + dateutil + jmespath + futures ]; postInstall = '' diff --git a/nixpkgs/pkgs/tools/admin/google-cloud-sdk/default.nix b/nixpkgs/pkgs/tools/admin/google-cloud-sdk/default.nix index 7c81e861ddb..feb0b996b61 100644 --- a/nixpkgs/pkgs/tools/admin/google-cloud-sdk/default.nix +++ b/nixpkgs/pkgs/tools/admin/google-cloud-sdk/default.nix @@ -7,13 +7,15 @@ # 3) used by `google-cloud-sdk` only on GCE guests # -{ stdenv, lib, fetchurl, makeWrapper, python, cffi, cryptography, pyopenssl, - crcmod, google-compute-engine, with-gce ? false }: +{ stdenv, lib, fetchurl, makeWrapper, python, with-gce ? false }: let - pythonInputs = [ cffi cryptography pyopenssl crcmod ] - ++ lib.optional (with-gce) google-compute-engine; - pythonPath = lib.makeSearchPath python.sitePackages pythonInputs; + pythonEnv = python.withPackages (p: with p; [ + cffi + cryptography + pyopenssl + crcmod + ] ++ lib.optional (with-gce) google-compute-engine); baseUrl = "https://dl.google.com/dl/cloudsdk/channels/rapid/downloads"; sources = name: system: { @@ -53,8 +55,8 @@ in stdenv.mkDerivation rec { programPath="$out/google-cloud-sdk/bin/$program" binaryPath="$out/bin/$program" wrapProgram "$programPath" \ - --set CLOUDSDK_PYTHON "${python}/bin/python" \ - --prefix PYTHONPATH : "${pythonPath}" + --set CLOUDSDK_PYTHON "${pythonEnv}/bin/python" \ + --prefix PYTHONPATH : "${pythonEnv}/${python.sitePackages}" mkdir -p $out/bin ln -s $programPath $binaryPath diff --git a/nixpkgs/pkgs/tools/admin/lxd/default.nix b/nixpkgs/pkgs/tools/admin/lxd/default.nix index 3c50a7948d9..fd8ee9e04a3 100644 --- a/nixpkgs/pkgs/tools/admin/lxd/default.nix +++ b/nixpkgs/pkgs/tools/admin/lxd/default.nix @@ -1,7 +1,7 @@ { stdenv, pkgconfig, lxc, buildGoPackage, fetchurl , makeWrapper, acl, rsync, gnutar, xz, btrfs-progs, gzip, dnsmasq -, squashfsTools, iproute, iptables, ebtables, libcap, dqlite -, sqlite-replication +, squashfsTools, iproute, iptables, ebtables, libcap, libco-canonical, dqlite +, raft-canonical, sqlite-replication , writeShellScriptBin, apparmor-profiles, apparmor-parser , criu , bash @@ -9,21 +9,20 @@ buildGoPackage rec { pname = "lxd"; - version = "3.13"; + version = "3.18"; goPackagePath = "github.com/lxc/lxd"; src = fetchurl { url = "https://github.com/lxc/lxd/releases/download/${pname}-${version}/${pname}-${version}.tar.gz"; - sha256 = "1kasnzd8hw9biyx8avbjmpfax1pdbp9g543g8hs6xpksmk93hl82"; + sha256 = "1p8g2gbwgn3kln5rxddpc2fxk8bvf026wjiqip2b0vvpi7h3955h"; }; preBuild = '' # unpack vendor pushd go/src/github.com/lxc/lxd - rm dist/src/github.com/lxc/lxd - cp -r dist/src/* ../../.. - rm -r dist + rm _dist/src/github.com/lxc/lxd + cp -r _dist/src/* ../../.. popd ''; @@ -45,13 +44,14 @@ buildGoPackage rec { ''; nativeBuildInputs = [ pkgconfig makeWrapper ]; - buildInputs = [ lxc acl libcap dqlite sqlite-replication ]; + buildInputs = [ lxc acl libcap libco-canonical.dev dqlite.dev + raft-canonical.dev sqlite-replication ]; meta = with stdenv.lib; { description = "Daemon based on liblxc offering a REST API to manage containers"; homepage = https://linuxcontainers.org/lxd/; license = licenses.asl20; - maintainers = with maintainers; [ fpletz ]; + maintainers = with maintainers; [ fpletz wucke13 ]; platforms = platforms.linux; }; } diff --git a/nixpkgs/pkgs/tools/archivers/xarchiver/default.nix b/nixpkgs/pkgs/tools/archivers/xarchiver/default.nix index 54bd9a1a08b..1a05c1ba269 100644 --- a/nixpkgs/pkgs/tools/archivers/xarchiver/default.nix +++ b/nixpkgs/pkgs/tools/archivers/xarchiver/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchFromGitHub, gtk3, pkgconfig, intltool, libxslt, hicolor-icon-theme }: +{ stdenv, fetchFromGitHub, gtk3, pkgconfig, intltool, libxslt }: stdenv.mkDerivation rec { version = "0.5.4.14"; @@ -12,7 +12,7 @@ stdenv.mkDerivation rec { }; nativeBuildInputs = [ pkgconfig ]; - buildInputs = [ gtk3 intltool libxslt hicolor-icon-theme ]; + buildInputs = [ gtk3 intltool libxslt ]; meta = { description = "GTK frontend to 7z,zip,rar,tar,bzip2, gzip,arj, lha, rpm and deb (open and extract only)"; diff --git a/nixpkgs/pkgs/tools/backup/duplicity/default.nix b/nixpkgs/pkgs/tools/backup/duplicity/default.nix index 1cf7a19014e..c1673c8308e 100644 --- a/nixpkgs/pkgs/tools/backup/duplicity/default.nix +++ b/nixpkgs/pkgs/tools/backup/duplicity/default.nix @@ -2,7 +2,8 @@ , gnutar , par2cmdline , utillinux -, rsync, makeWrapper }: +, rsync +, backblaze-b2, makeWrapper }: python2Packages.buildPythonApplication rec { pname = "duplicity"; @@ -40,10 +41,10 @@ python2Packages.buildPythonApplication rec { ]; buildInputs = [ librsync makeWrapper python2Packages.wrapPython ]; - propagatedBuildInputs = with python2Packages; [ + propagatedBuildInputs = [ backblaze-b2 ] ++ (with python2Packages; [ boto cffi cryptography ecdsa enum idna pygobject3 fasteners ipaddress lockfile paramiko pyasn1 pycrypto six - ]; + ]); checkInputs = [ gnupg # Add 'gpg' to PATH. gnutar # Add 'tar' to PATH. diff --git a/nixpkgs/pkgs/tools/backup/pyznap/default.nix b/nixpkgs/pkgs/tools/backup/pyznap/default.nix index eb714b64a97..42df651e1a8 100644 --- a/nixpkgs/pkgs/tools/backup/pyznap/default.nix +++ b/nixpkgs/pkgs/tools/backup/pyznap/default.nix @@ -1,17 +1,22 @@ { lib , buildPythonApplication , fetchPypi +, setuptools }: buildPythonApplication rec { pname = "pyznap"; - version = "1.2.1"; + version = "1.4.3"; src = fetchPypi { inherit pname version; - sha256 = "0pnngr4zdxkf6b570ikzvkrm3a8fr47w6crjaw7ln094qkniywvj"; + sha256 = "00xpw6rmkq5cfjfw23mv0917wfzvb5zxj420p6yh0rnl3swh7gi8"; }; + propagatedBuildInputs = [ + setuptools + ]; + # tests aren't included in the PyPI packages doCheck = false; diff --git a/nixpkgs/pkgs/tools/backup/s3ql/default.nix b/nixpkgs/pkgs/tools/backup/s3ql/default.nix index b71f032bd7a..7ef213bae23 100644 --- a/nixpkgs/pkgs/tools/backup/s3ql/default.nix +++ b/nixpkgs/pkgs/tools/backup/s3ql/default.nix @@ -2,13 +2,13 @@ python3Packages.buildPythonApplication rec { pname = "s3ql"; - version = "3.2"; + version = "3.3"; src = fetchFromGitHub { owner = pname; repo = pname; rev = "release-${version}"; - sha256 = "01ky0jc1s3w9dry5siz9b69jf2maiargz99axgxvyihap0d7qs52"; + sha256 = "1rb1y1hl6qgwpkfc85ivkk0l0f5dh8skpfaipnvndn73mlya96mk"; }; checkInputs = [ which ] ++ (with python3Packages; [ cython pytest ]); diff --git a/nixpkgs/pkgs/tools/bluetooth/blueman/default.nix b/nixpkgs/pkgs/tools/bluetooth/blueman/default.nix index 01ab2eefc21..1a7fb5c3a08 100644 --- a/nixpkgs/pkgs/tools/bluetooth/blueman/default.nix +++ b/nixpkgs/pkgs/tools/bluetooth/blueman/default.nix @@ -1,7 +1,7 @@ { config, stdenv, lib, fetchurl, intltool, pkgconfig, python3Packages, bluez, gtk3 , obex_data_server, xdg_utils, dnsmasq, dhcp, libappindicator, iproute -, gnome3, librsvg, wrapGAppsHook, gobject-introspection -, networkmanager, withPulseAudio ? config.pulseaudio or stdenv.isLinux, libpulseaudio }: +, gnome3, librsvg, wrapGAppsHook, gobject-introspection, autoreconfHook +, networkmanager, withPulseAudio ? config.pulseaudio or stdenv.isLinux, libpulseaudio, fetchpatch }: let pythonPackages = python3Packages; @@ -19,6 +19,7 @@ in stdenv.mkDerivation rec { nativeBuildInputs = [ gobject-introspection intltool pkgconfig pythonPackages.cython pythonPackages.wrapPython wrapGAppsHook + autoreconfHook # drop when below patch is removed ]; buildInputs = [ bluez gtk3 pythonPackages.python librsvg @@ -26,6 +27,18 @@ in stdenv.mkDerivation rec { ++ pythonPath ++ lib.optional withPulseAudio libpulseaudio; + patches = [ + # Don't use etc/dbus-1/system.d + (fetchpatch { + url = "https://github.com/blueman-project/blueman/commit/ae2be5a70cdea1d1aa0e3ab1c85c1d3a0c4affc6.patch"; + sha256 = "0nb6jzlxhgjvac52cjwi0pi40b8v4h6z6pwz5vkyfmaj86spygg3"; + excludes = [ + "meson.build" + "Dependencies.md" + ]; + }) + ]; + postPatch = lib.optionalString withPulseAudio '' sed -i 's,CDLL(",CDLL("${libpulseaudio.out}/lib/,g' blueman/main/PulseAudioUtils.py ''; diff --git a/nixpkgs/pkgs/tools/filesystems/bcachefs-tools/default.nix b/nixpkgs/pkgs/tools/filesystems/bcachefs-tools/default.nix index d53fbb3960b..144ed0a3845 100644 --- a/nixpkgs/pkgs/tools/filesystems/bcachefs-tools/default.nix +++ b/nixpkgs/pkgs/tools/filesystems/bcachefs-tools/default.nix @@ -3,12 +3,12 @@ stdenv.mkDerivation { pname = "bcachefs-tools"; - version = "2019-10-01"; + version = "2019-10-12"; src = fetchgit { url = "https://evilpiepirate.org/git/bcachefs-tools.git"; - rev = "7f69c4161c31b8f43723a9ccad1a9a358f4e2e70"; - sha256 = "0v4b8h99cd434v349y8vmhj2igf0ryky7svd20ar1fr7da580kvj"; + rev = "6e696ea08703eecd0d1c7b8c520b6f62f06f4f26"; + sha256 = "0m3valm68vc73b4kydlga17fglxa9bldrjaszlladzl5bd0zb967"; }; enableParallelBuilding = true; diff --git a/nixpkgs/pkgs/tools/filesystems/sshfs-fuse/default.nix b/nixpkgs/pkgs/tools/filesystems/sshfs-fuse/default.nix index 4a1215397be..85cd0609920 100644 --- a/nixpkgs/pkgs/tools/filesystems/sshfs-fuse/default.nix +++ b/nixpkgs/pkgs/tools/filesystems/sshfs-fuse/default.nix @@ -1,6 +1,7 @@ -{ stdenv, fetchFromGitHub, meson, pkgconfig, ninja, docutils +{ stdenv, fetchFromGitHub, meson, pkgconfig, ninja, docutils, makeWrapper , fuse3, glib , which, python3Packages +, openssh }: stdenv.mkDerivation rec { @@ -14,7 +15,7 @@ stdenv.mkDerivation rec { sha256 = "0gvk8snivpi2sjidjnd9ypc66ny7lr0z9v4swl56rwjv539dkbx2"; }; - nativeBuildInputs = [ meson pkgconfig ninja docutils ]; + nativeBuildInputs = [ meson pkgconfig ninja docutils makeWrapper ]; buildInputs = [ fuse3 glib ]; checkInputs = [ which python3Packages.pytest ]; @@ -25,6 +26,7 @@ stdenv.mkDerivation rec { postInstall = '' mkdir -p $out/sbin ln -sf $out/bin/sshfs $out/sbin/mount.sshfs + wrapProgram $out/bin/sshfs --prefix PATH : "${openssh}/bin" ''; #doCheck = true; diff --git a/nixpkgs/pkgs/tools/graphics/oxipng/default.nix b/nixpkgs/pkgs/tools/graphics/oxipng/default.nix index 58743d948b4..0bbd7c21aa6 100644 --- a/nixpkgs/pkgs/tools/graphics/oxipng/default.nix +++ b/nixpkgs/pkgs/tools/graphics/oxipng/default.nix @@ -1,21 +1,23 @@ { stdenv, fetchFromGitHub, rustPlatform }: rustPlatform.buildRustPackage rec { - version = "2.2.2"; + version = "2.3.0"; pname = "oxipng"; src = fetchFromGitHub { owner = "shssoichiro"; repo = pname; rev = "v${version}"; - sha256 = "07amczmyqs09zfp564nk8jy1n65y8pvk89qq6jv5k8npai8zvixn"; + sha256 = "1cx026g1gdvk4qmnrbsmg46y2lizx0wqny25hhdjnh9pwzjc77mh"; }; - cargoSha256 = "1fkghjzsyg27n6k2yki0yhbdmmb1whgy5fjpydpjm4yv448nhhbm"; + cargoSha256 = "1213mg7xhv9ymgm0xqdai5wgammz9n07whw2d42m83208k94zss3"; # https://crates.io/crates/cloudflare-zlib#arm-vs-nightly-rust cargoBuildFlags = [ "--features=cloudflare-zlib/arm-always" ]; + doCheck = !stdenv.isAarch64 && !stdenv.isDarwin; + meta = with stdenv.lib; { homepage = https://github.com/shssoichiro/oxipng; description = "A multithreaded lossless PNG compression optimizer"; diff --git a/nixpkgs/pkgs/tools/graphics/zbar/default.nix b/nixpkgs/pkgs/tools/graphics/zbar/default.nix index 687c4832e47..9a071e6150f 100644 --- a/nixpkgs/pkgs/tools/graphics/zbar/default.nix +++ b/nixpkgs/pkgs/tools/graphics/zbar/default.nix @@ -58,7 +58,7 @@ stdenv.mkDerivation rec { configureFlags = [ "--without-python" ] ++ (if enableDbus then [ - "--with-dbusconfdir=${placeholder "out"}/etc" + "--with-dbusconfdir=${placeholder "out"}/share" ] else [ "--without-dbus" ]) ++ (if enableVideo then [ diff --git a/nixpkgs/pkgs/tools/inputmethods/ibus/default.nix b/nixpkgs/pkgs/tools/inputmethods/ibus/default.nix index a6c2404ef34..0dc339e51c6 100644 --- a/nixpkgs/pkgs/tools/inputmethods/ibus/default.nix +++ b/nixpkgs/pkgs/tools/inputmethods/ibus/default.nix @@ -50,18 +50,19 @@ let }; nativeBuildInputs = [ autoreconfHook ]; }; + ucdVersion = "12.0.0"; ucdSrcs = { NamesList = fetchurl { - url = "https://www.unicode.org/Public/UNIDATA/NamesList.txt"; + url = "https://www.unicode.org/Public/${ucdVersion}/ucd/NamesList.txt"; sha256 = "c17c7726f562bd9ef869096807f0297e1edef9a58fdae1fbae487378fa43586f"; }; Blocks = fetchurl { - url = "https://www.unicode.org/Public/UNIDATA/Blocks.txt"; + url = "https://www.unicode.org/Public/${ucdVersion}/ucd/Blocks.txt"; sha256 = "a1a3ca4381eb91f7b65afe7cb7df615cdcf67993fef4b486585f66b349993a10"; }; }; ucd = stdenv.mkDerivation { - name = "ucd-12.0.0"; + name = "ucd-${ucdVersion}"; dontUnpack = true; installPhase = '' mkdir $out @@ -98,6 +99,8 @@ stdenv.mkDerivation rec { }) ]; + outputs = [ "out" "dev" ]; + postPatch = '' echo \#!${runtimeShell} > data/dconf/make-dconf-override-db.sh cp ${buildPackages.gtk-doc}/share/gtk-doc/data/gtk-doc.make . diff --git a/nixpkgs/pkgs/tools/misc/bepasty/default.nix b/nixpkgs/pkgs/tools/misc/bepasty/default.nix index a1e9f21c52c..532d1155fbe 100644 --- a/nixpkgs/pkgs/tools/misc/bepasty/default.nix +++ b/nixpkgs/pkgs/tools/misc/bepasty/default.nix @@ -14,6 +14,7 @@ buildPythonPackage rec { propagatedBuildInputs = [ flask pygments + setuptools xstatic xstatic-bootbox xstatic-bootstrap diff --git a/nixpkgs/pkgs/tools/misc/clipman/default.nix b/nixpkgs/pkgs/tools/misc/clipman/default.nix index 1dd2c16cb56..42db25f9573 100644 --- a/nixpkgs/pkgs/tools/misc/clipman/default.nix +++ b/nixpkgs/pkgs/tools/misc/clipman/default.nix @@ -2,16 +2,16 @@ buildGoModule rec { pname = "clipman"; - version = "1.0.1"; + version = "1.1.0"; src = fetchFromGitHub { owner = "yory8"; repo = pname; rev = "v${version}"; - sha256 = "1qv7mncb8ggyxrxqxax3gbcfxzk8b4zj2n8rp2xpghsynw4j740w"; + sha256 = "083wd9wqsvxsf3w72jqh36php3c85273n3c6j7j997w47k069m32"; }; - modSha256 = "0qwrj6wqy32v65k3sbp24frhrcq6wfk38ckmy6wfmhgcix47fzj2"; + modSha256 = "0r7bx2vxnjqs4lx17w7q75spdjh3dz4560d62bj6fb5n71hc5mgv"; nativeBuildInputs = [ makeWrapper ]; diff --git a/nixpkgs/pkgs/tools/misc/execline/default.nix b/nixpkgs/pkgs/tools/misc/execline/default.nix index 5a967ea2095..a1907434181 100644 --- a/nixpkgs/pkgs/tools/misc/execline/default.nix +++ b/nixpkgs/pkgs/tools/misc/execline/default.nix @@ -1,42 +1,85 @@ -{ skawarePackages, makeWrapper }: +{ lib, skawarePackages +# for execlineb-with-builtins +, coreutils, gnugrep, writeScriptBin, runCommand, runCommandCC +# Whether to wrap bin/execlineb to have the execline tools on its PATH. +, execlineb-with-builtins ? true +}: with skawarePackages; -buildPackage { - pname = "execline"; - version = "2.5.1.0"; - sha256 = "0xr6yb50wm6amj1wc7jmxyv7hvlx2ypbnww1vc288j275625d9xi"; +let + outputs = [ "bin" "lib" "dev" "doc" "out" ]; - description = "A small scripting language, to be used in place of a shell in non-interactive scripts"; + execline = + buildPackage { + pname = "execline"; + version = "2.5.1.0"; + sha256 = "0xr6yb50wm6amj1wc7jmxyv7hvlx2ypbnww1vc288j275625d9xi"; - outputs = [ "bin" "lib" "dev" "doc" "out" ]; + description = "A small scripting language, to be used in place of a shell in non-interactive scripts"; + + inherit outputs; + + # TODO: nsss support + configureFlags = [ + "--libdir=\${lib}/lib" + "--dynlibdir=\${lib}/lib" + "--bindir=\${bin}/bin" + "--includedir=\${dev}/include" + "--with-sysdeps=${skalibs.lib}/lib/skalibs/sysdeps" + "--with-include=${skalibs.dev}/include" + "--with-lib=${skalibs.lib}/lib" + "--with-dynlib=${skalibs.lib}/lib" + ]; + + postInstall = '' + # remove all execline executables from build directory + rm $(find -type f -mindepth 1 -maxdepth 1 -executable) + rm libexecline.* - setupHooks = [ makeWrapper ]; - - # TODO: nsss support - configureFlags = [ - "--libdir=\${lib}/lib" - "--dynlibdir=\${lib}/lib" - "--bindir=\${bin}/bin" - "--includedir=\${dev}/include" - "--with-sysdeps=${skalibs.lib}/lib/skalibs/sysdeps" - "--with-include=${skalibs.dev}/include" - "--with-lib=${skalibs.lib}/lib" - "--with-dynlib=${skalibs.lib}/lib" - ]; - - postInstall = '' - # remove all execline executables from build directory - rm $(find -type f -mindepth 1 -maxdepth 1 -executable) - rm libexecline.* - - mv doc $doc/share/doc/execline/html - mv examples $doc/share/doc/execline/examples - - # finally, add all tools to PATH so they are available - # from within execlineb scripts by default - wrapProgram $bin/bin/execlineb \ - --suffix PATH : $bin/bin + mv doc $doc/share/doc/execline/html + mv examples $doc/share/doc/execline/examples + ''; + + }; + + # A wrapper around execlineb, which provides all execline + # tools on `execlineb`’s PATH. + # It is implemented as a C script, because on non-Linux, + # nested shebang lines are not supported. + execlineb-with-builtins-drv = runCommandCC "execlineb" {} '' + mkdir -p $out/bin + cc \ + -O \ + -Wall -Wpedantic \ + -D 'EXECLINEB_PATH()="${execline}/bin/execlineb"' \ + -D 'EXECLINE_BIN_PATH()="${execline}/bin"' \ + -I "${skalibs.dev}/include" \ + -L "${skalibs.lib}/lib" \ + -l"skarnet" \ + -o "$out/bin/execlineb" \ + ${./execlineb-wrapper.c} ''; -} + + # the original execline package, with bin/execlineb overwritten + execline-with-builtins = runCommand "my-execline" + (execline.drvAttrs // { + preferLocalBuild = true; + allowSubstitutes = false; + }) + # copy every output and just overwrite the execlineb binary in $bin + '' + ${lib.concatMapStringsSep "\n" + (output: '' + cp -r ${execline.${output}} "''$${output}" + chmod --recursive +w "''$${output}" + '') + outputs} + install ${execlineb-with-builtins-drv}/bin/execlineb $bin/bin/execlineb + ''; + +in + if execlineb-with-builtins + then execline-with-builtins + else execline diff --git a/nixpkgs/pkgs/tools/misc/execline/execlineb-wrapper.c b/nixpkgs/pkgs/tools/misc/execline/execlineb-wrapper.c new file mode 100644 index 00000000000..09ccf990af7 --- /dev/null +++ b/nixpkgs/pkgs/tools/misc/execline/execlineb-wrapper.c @@ -0,0 +1,43 @@ +#include <stdlib.h> +#include <string.h> + +#include <skalibs/stralloc.h> +#include <skalibs/djbunix.h> +#include <skalibs/strerr2.h> +#include <skalibs/env.h> + +#define dienomem() strerr_diefu1sys(111, "stralloc_catb") + +// macros from outside +/* const char* EXECLINEB_PATH; */ +/* const char* EXECLINE_BIN_PATH; */ + +int main(int argc, char const* argv[], char const *const *envp) +{ + PROG = "execlineb-wrapper"; + + char const* path = getenv("PATH"); + stralloc path_modif = STRALLOC_ZERO; + + // modify PATH if unset or EXECLINEB_BIN_PATH is not yet there + if ( !path || ! strstr(path, EXECLINE_BIN_PATH())) { + // prepend our execline path + if ( ! stralloc_cats(&path_modif, "PATH=") + || ! stralloc_cats(&path_modif, EXECLINE_BIN_PATH()) ) dienomem(); + // old path was not empty + if ( path && path[0] ) { + if ( ! stralloc_catb(&path_modif, ":", 1) + || ! stralloc_cats(&path_modif, path) ) dienomem(); + } + // append final \0 + if ( ! stralloc_0(&path_modif) ) dienomem(); + } + + // exec into execlineb and append path_modif to the environment + xpathexec_r_name( + EXECLINEB_PATH(), + argv, + envp, env_len(envp), + path_modif.s, path_modif.len + ); +} diff --git a/nixpkgs/pkgs/tools/misc/geekbench/default.nix b/nixpkgs/pkgs/tools/misc/geekbench/default.nix index 0f32d1e63b1..19fa7e547ae 100644 --- a/nixpkgs/pkgs/tools/misc/geekbench/default.nix +++ b/nixpkgs/pkgs/tools/misc/geekbench/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { pname = "geekbench"; - version = "4.4.1"; + version = "5.0.2"; src = fetchurl { url = "https://cdn.geekbench.com/Geekbench-${version}-Linux.tar.gz"; - sha256 = "0s3v8frflqqifyzq84xi6322wg8c9f5wcaic9zlpdf6wylqsiali"; + sha256 = "1vgq6dp22996y7llcij122idky92jgczliwm191yfc8b200xbx0p"; }; dontConfigure = true; @@ -16,9 +16,9 @@ stdenv.mkDerivation rec { installPhase = '' mkdir -p $out/bin - cp -r geekbench.plar geekbench4 geekbench_x86_64 $out/bin + cp -r geekbench.plar geekbench5 geekbench_x86_64 $out/bin - for f in geekbench4 geekbench_x86_64 ; do + for f in geekbench5 geekbench_x86_64 ; do patchelf --set-interpreter $(cat ${stdenv.cc}/nix-support/dynamic-linker) $out/bin/$f wrapProgram $out/bin/$f --prefix LD_LIBRARY_PATH : "${stdenv.lib.makeLibraryPath [ stdenv.cc.cc.lib ]}" done diff --git a/nixpkgs/pkgs/tools/misc/gparted/default.nix b/nixpkgs/pkgs/tools/misc/gparted/default.nix index 49a2809b604..6b32c408ed9 100644 --- a/nixpkgs/pkgs/tools/misc/gparted/default.nix +++ b/nixpkgs/pkgs/tools/misc/gparted/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, intltool, gettext, makeWrapper, coreutils, gnused, gnome3 -, gnugrep, parted, glib, libuuid, pkgconfig, gtkmm3, libxml2, hicolor-icon-theme +, gnugrep, parted, glib, libuuid, pkgconfig, gtkmm3, libxml2 , gpart, hdparm, procps, utillinux, polkit, wrapGAppsHook, substituteAll }: @@ -23,7 +23,7 @@ stdenv.mkDerivation rec { configureFlags = [ "--disable-doc" ]; - buildInputs = [ parted glib libuuid gtkmm3 libxml2 hicolor-icon-theme polkit.bin gnome3.adwaita-icon-theme ]; + buildInputs = [ parted glib libuuid gtkmm3 libxml2 polkit.bin gnome3.adwaita-icon-theme ]; nativeBuildInputs = [ intltool gettext pkgconfig wrapGAppsHook ]; preFixup = '' diff --git a/nixpkgs/pkgs/tools/misc/hdf5/default.nix b/nixpkgs/pkgs/tools/misc/hdf5/default.nix index 336010718dd..3296c0a4f92 100644 --- a/nixpkgs/pkgs/tools/misc/hdf5/default.nix +++ b/nixpkgs/pkgs/tools/misc/hdf5/default.nix @@ -28,6 +28,8 @@ stdenv.mkDerivation rec { inherit mpi; }; + outputs = [ "out" "dev" ]; + nativeBuildInputs = [ removeReferencesTo ]; buildInputs = [] @@ -51,6 +53,7 @@ stdenv.mkDerivation rec { postInstall = '' find "$out" -type f -exec remove-references-to -t ${stdenv.cc} '{}' + + moveToOutput bin/h5cc "''${!outputDev}" ''; meta = { diff --git a/nixpkgs/pkgs/tools/misc/hyperfine/default.nix b/nixpkgs/pkgs/tools/misc/hyperfine/default.nix index c9489e37e25..8f3d245280c 100644 --- a/nixpkgs/pkgs/tools/misc/hyperfine/default.nix +++ b/nixpkgs/pkgs/tools/misc/hyperfine/default.nix @@ -4,16 +4,16 @@ rustPlatform.buildRustPackage rec { pname = "hyperfine"; - version = "1.6.0"; + version = "1.8.0"; src = fetchFromGitHub { owner = "sharkdp"; repo = pname; rev = "refs/tags/v${version}"; - sha256 = "0rwmigdnw2zgixzmif3wzw1adlyyk71jzvjfccqmgz840jkpvmcy"; + sha256 = "12bj5xifnpj5yni563b6b33lzmkgm7j1wk0c9859zw59b33ifd1l"; }; - cargoSha256 = "1j9ngbabg6vchgpiaqsbcvsm86syx2nbckzf9a4b29m69jv4pp1y"; + cargoSha256 = "1ias944wg55njjnap7w02b87bvb502vzkpjvsb704q5i9sr8hjry"; buildInputs = stdenv.lib.optional stdenv.isDarwin Security; diff --git a/nixpkgs/pkgs/tools/misc/kak-lsp/default.nix b/nixpkgs/pkgs/tools/misc/kak-lsp/default.nix index 7484e682918..e3551b8f404 100644 --- a/nixpkgs/pkgs/tools/misc/kak-lsp/default.nix +++ b/nixpkgs/pkgs/tools/misc/kak-lsp/default.nix @@ -2,16 +2,16 @@ rustPlatform.buildRustPackage rec { pname = "kak-lsp"; - version = "6.2.1"; + version = "7.0.0"; src = fetchFromGitHub { owner = "ul"; repo = pname; rev = "v${version}"; - sha256 = "0bazbz1g5iqxlwybn5whidvavglvgdl9yp9qswgsk1jrjmcr5klx"; + sha256 = "1b9v417g0z9q1sqgnms5vy740xggg4fcz0fdwbc4hfvfj6jkyaad"; }; - cargoSha256 = "0w0mnh8fnl8zi9n0fxzqaqbvmfagf3ay5v2na3laxb72jm76hrwa"; + cargoSha256 = "0kzrrphlilnyl79yfmlvd6an8iyi8zcs0inwiq74z383lnbdpk7q"; buildInputs = lib.optional stdenv.isDarwin [ darwin.apple_sdk.frameworks.Security ]; diff --git a/nixpkgs/pkgs/tools/misc/man-db/default.nix b/nixpkgs/pkgs/tools/misc/man-db/default.nix index df1a2daddb9..a8fb7eea97c 100644 --- a/nixpkgs/pkgs/tools/misc/man-db/default.nix +++ b/nixpkgs/pkgs/tools/misc/man-db/default.nix @@ -38,6 +38,7 @@ stdenv.mkDerivation rec { "--with-config-file=${placeholder "out"}/etc/man_db.conf" "--with-systemdtmpfilesdir=${placeholder "out"}/lib/tmpfiles.d" "--with-systemdsystemunitdir=${placeholder "out"}/lib/systemd/system" + "--with-pager=less" ] ++ stdenv.lib.optional stdenv.hostPlatform.isDarwin [ "ac_cv_func__set_invalid_parameter_handler=no" "ac_cv_func_posix_fadvise=no" diff --git a/nixpkgs/pkgs/tools/misc/mtm/default.nix b/nixpkgs/pkgs/tools/misc/mtm/default.nix index b518a159e91..5b45c0b712b 100644 --- a/nixpkgs/pkgs/tools/misc/mtm/default.nix +++ b/nixpkgs/pkgs/tools/misc/mtm/default.nix @@ -2,17 +2,21 @@ stdenv.mkDerivation rec { pname = "mtm"; - version = "1.1.0"; + version = "1.2.0"; src = fetchFromGitHub { owner = "deadpixi"; repo = pname; rev = version; - sha256 = "0k9xachd9wnyhj8sh4yninckgwm3a7zdxnn490x65ikn4vqb7w8x"; + sha256 = "0b2arkmbmabxmrqxlpvvvhll2qx0xgj7r4r6p0ymnm9p70idris4"; }; buildInputs = [ ncurses ]; + preBuild = '' + substituteInPlace Makefile --replace "strip -s mtm" "" + ''; + installPhase = '' runHook preInstall diff --git a/nixpkgs/pkgs/tools/misc/routino/default.nix b/nixpkgs/pkgs/tools/misc/routino/default.nix index d852818b315..d946ed91c72 100644 --- a/nixpkgs/pkgs/tools/misc/routino/default.nix +++ b/nixpkgs/pkgs/tools/misc/routino/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { pname = "routino"; - version = "3.2"; + version = "3.3.2"; src = fetchurl { url = "https://routino.org/download/${pname}-${version}.tgz"; - sha256 = "0lkmpi8gn7qf40cx93jcp7nxa9dfwi1d6rjrhcqbdymszzm33972"; + sha256 = "1ccx3s99j8syxc1gqkzsaqkmyf44l7h3adildnc5iq2md7bp8wab"; }; nativeBuildInputs = [ perl ]; diff --git a/nixpkgs/pkgs/tools/misc/rpm-ostree/default.nix b/nixpkgs/pkgs/tools/misc/rpm-ostree/default.nix index 409e65dff77..09f2150cdce 100644 --- a/nixpkgs/pkgs/tools/misc/rpm-ostree/default.nix +++ b/nixpkgs/pkgs/tools/misc/rpm-ostree/default.nix @@ -1,7 +1,7 @@ { stdenv, fetchurl, ostree, rpm, which, autoconf, automake, libtool, pkgconfig, cargo, rustc, gobject-introspection, gtk-doc, libxml2, libxslt, docbook_xsl, docbook_xml_dtd_42, docbook_xml_dtd_43, gperf, cmake, libcap, glib, systemd, json-glib, libarchive, libsolv, librepo, polkit, - bubblewrap, pcre, check, python, json_c, libmodulemd_1, utillinux, sqlite, cppunit }: + bubblewrap, pcre, check, python, json_c, libmodulemd_1, utillinux, sqlite, cppunit, fetchpatch }: stdenv.mkDerivation rec { pname = "rpm-ostree"; @@ -17,6 +17,12 @@ stdenv.mkDerivation rec { # https://github.com/NixOS/nixpkgs/pull/50953#issuecomment-449777169 # https://github.com/NixOS/nixpkgs/pull/50953#issuecomment-452177080 ./fix-introspection-build.patch + + # Don't use etc/dbus-1/system.d + (fetchpatch { + url = "https://github.com/coreos/rpm-ostree/commit/60053d0d3d2279d120ae7007c6048e499d2c4d14.patch"; + sha256 = "0ig21zip09iy2da7ksg87jykaj3q8jyzh8r7yrpzyql85qxiwm0m"; + }) ]; outputs = [ "out" "dev" "man" "devdoc" ]; diff --git a/nixpkgs/pkgs/tools/misc/screenfetch/default.nix b/nixpkgs/pkgs/tools/misc/screenfetch/default.nix index 883c2edd80a..71b6dd90530 100644 --- a/nixpkgs/pkgs/tools/misc/screenfetch/default.nix +++ b/nixpkgs/pkgs/tools/misc/screenfetch/default.nix @@ -1,12 +1,12 @@ { stdenv, lib, fetchFromGitHub, makeWrapper, coreutils, gawk, procps, gnused -, bc, findutils, xdpyinfo, xprop, gnugrep, ncurses +, bc, findutils, xdpyinfo, xprop, gnugrep, ncurses, pciutils , darwin }: let path = lib.makeBinPath ([ coreutils gawk gnused findutils - gnugrep ncurses bc + gnugrep ncurses bc pciutils ] ++ lib.optionals stdenv.isLinux [ procps xdpyinfo @@ -20,13 +20,13 @@ let in stdenv.mkDerivation rec { pname = "screenFetch"; - version = "3.8.0"; + version = "3.9.0"; src = fetchFromGitHub { owner = "KittyKatt"; repo = "screenFetch"; rev = "v${version}"; - sha256 = "00ibv72cb7cqfpljyzgvajhbp0clqsqliz18nyv83bfy3gkf2qs8"; + sha256 = "11mqld9pppbappqbaj49mw2v5kysx06sy1xbs81i0hhgh35hlziv"; }; nativeBuildInputs = [ makeWrapper ]; diff --git a/nixpkgs/pkgs/tools/misc/snapper/default.nix b/nixpkgs/pkgs/tools/misc/snapper/default.nix index 5eba12285de..6c9cbc56846 100644 --- a/nixpkgs/pkgs/tools/misc/snapper/default.nix +++ b/nixpkgs/pkgs/tools/misc/snapper/default.nix @@ -1,7 +1,7 @@ { stdenv, fetchFromGitHub , autoreconfHook, pkgconfig, docbook_xsl, libxslt, docbook_xml_dtd_45 , acl, attr, boost, btrfs-progs, dbus, diffutils, e2fsprogs, libxml2 -, lvm2, pam, python, utillinux }: +, lvm2, pam, python, utillinux, fetchpatch }: stdenv.mkDerivation rec { pname = "snapper"; @@ -23,6 +23,14 @@ stdenv.mkDerivation rec { lvm2 pam python utillinux ]; + patches = [ + # Don't use etc/dbus-1/system.d + (fetchpatch { + url = "https://github.com/openSUSE/snapper/commit/c51708aea22d9436da287cba84424557ad03644b.patch"; + sha256 = "106pf7pv8z3q37c8ckmgwxs1phf2fy7l53a9g5xq5kk2rjj1cx34"; + }) + ]; + postPatch = '' # Hard-coded root paths, hard-coded root paths everywhere... for file in {client,data,pam,scripts}/Makefile.am; do diff --git a/nixpkgs/pkgs/tools/misc/snore/default.nix b/nixpkgs/pkgs/tools/misc/snore/default.nix new file mode 100644 index 00000000000..f6f177811b0 --- /dev/null +++ b/nixpkgs/pkgs/tools/misc/snore/default.nix @@ -0,0 +1,23 @@ +{ stdenv, fetchFromGitHub }: + +stdenv.mkDerivation rec { + version = "0.1"; + pname = "snore"; + + src = fetchFromGitHub { + owner = "clamiax"; + repo = pname; + rev = version; + sha256 = "1ic1qy6ybnjlkz5rb1hpvq6dcdmxw5xcx34qcadrsfdjizxcv8pp"; + }; + + makeFlags = [ "PREFIX=${placeholder "out"}" ]; + + meta = with stdenv.lib; { + description = "sleep with feedback"; + homepage = "https://github.com/clamiax/snore"; + license = licenses.mit; + maintainers = [ maintainers.marsam ]; + platforms = platforms.unix; + }; +} diff --git a/nixpkgs/pkgs/tools/misc/starship/default.nix b/nixpkgs/pkgs/tools/misc/starship/default.nix index 8335c04c3d2..7270be0abfa 100644 --- a/nixpkgs/pkgs/tools/misc/starship/default.nix +++ b/nixpkgs/pkgs/tools/misc/starship/default.nix @@ -2,13 +2,13 @@ rustPlatform.buildRustPackage rec { pname = "starship"; - version = "0.21.0"; + version = "0.25.0"; src = fetchFromGitHub { owner = "starship"; repo = "starship"; rev = "v${version}"; - sha256 = "07f502xrh8pkh02xkb79g64qcwxdlipypwn6x35zq8hdrw07xhw3"; + sha256 = "029yrjlb0gl6338h1d299522cv3vfx5y08fs4kp61pmsw6x0c818"; }; buildInputs = stdenv.lib.optionals stdenv.isDarwin [ libiconv darwin.apple_sdk.frameworks.Security ]; diff --git a/nixpkgs/pkgs/tools/misc/testdisk/default.nix b/nixpkgs/pkgs/tools/misc/testdisk/default.nix deleted file mode 100644 index 14c152236d6..00000000000 --- a/nixpkgs/pkgs/tools/misc/testdisk/default.nix +++ /dev/null @@ -1,27 +0,0 @@ -{ stdenv, fetchurl, ncurses, libjpeg, e2fsprogs, zlib, openssl, libuuid, ntfs3g }: - -stdenv.mkDerivation { - name = "testdisk-7.1"; - - src = fetchurl { - url = https://www.cgsecurity.org/testdisk-7.0.tar.bz2; - sha256 = "0ba4wfz2qrf60vwvb1qsq9l6j0pgg81qgf7fh22siaz649mkpfq0"; - }; - - buildInputs = [ ncurses libjpeg zlib openssl libuuid ] - ++ stdenv.lib.optionals (!stdenv.isDarwin) [ e2fsprogs ntfs3g ]; - - enableParallelBuilding = true; - - meta = { - homepage = https://www.cgsecurity.org/wiki/TestDisk; - license = stdenv.lib.licenses.gpl2Plus; - platforms = stdenv.lib.platforms.all; - maintainers = [ stdenv.lib.maintainers.eelco ]; - longDescription = '' - TestDisk is a program for data recovery, primarily designed to - help recover lost partitions and/or make non-booting disks - bootable again. - ''; - }; -} diff --git a/nixpkgs/pkgs/tools/misc/youtube-dl/default.nix b/nixpkgs/pkgs/tools/misc/youtube-dl/default.nix index 9ef2156af8f..31aaa21d136 100644 --- a/nixpkgs/pkgs/tools/misc/youtube-dl/default.nix +++ b/nixpkgs/pkgs/tools/misc/youtube-dl/default.nix @@ -18,11 +18,11 @@ buildPythonPackage rec { # The websites youtube-dl deals with are a very moving target. That means that # downloads break constantly. Because of that, updates should always be backported # to the latest stable release. - version = "2019.09.28"; + version = "2019.10.16"; src = fetchurl { url = "https://yt-dl.org/downloads/${version}/${pname}-${version}.tar.gz"; - sha256 = "0nrk0bk6lksnmng8lwhcpkc57iibzjjamlqz8rxjpsw6dnzxz82h"; + sha256 = "089bm68255b8af9y1mf1bmsnci9b2vdcm2a9x4rnrvhrwhxb9b7j"; }; nativeBuildInputs = [ makeWrapper ]; diff --git a/nixpkgs/pkgs/tools/networking/connman/default.nix b/nixpkgs/pkgs/tools/networking/connman/default.nix index 56b0347e17f..e58b8362f95 100644 --- a/nixpkgs/pkgs/tools/networking/connman/default.nix +++ b/nixpkgs/pkgs/tools/networking/connman/default.nix @@ -26,8 +26,8 @@ stdenv.mkDerivation rec { configureFlags = [ "--sysconfdir=\${out}/etc" "--localstatedir=/var" - "--with-dbusconfdir=\${out}/etc" - "--with-dbusdatadir=\${out}/usr/share" + "--with-dbusconfdir=${placeholder "out"}/share" + "--with-dbusdatadir=${placeholder "out"}/share" "--disable-maintainer-mode" "--enable-openconnect=builtin" "--with-openconnect=${openconnect}/sbin/openconnect" diff --git a/nixpkgs/pkgs/tools/networking/curl/default.nix b/nixpkgs/pkgs/tools/networking/curl/default.nix index 652bcdba874..817f3ccf19b 100644 --- a/nixpkgs/pkgs/tools/networking/curl/default.nix +++ b/nixpkgs/pkgs/tools/networking/curl/default.nix @@ -24,14 +24,14 @@ assert brotliSupport -> brotli != null; assert gssSupport -> libkrb5 != null; stdenv.mkDerivation rec { - name = "curl-7.65.3"; + name = "curl-7.66.0"; src = fetchurl { urls = [ "https://curl.haxx.se/download/${name}.tar.bz2" "https://github.com/curl/curl/releases/download/${lib.replaceStrings ["."] ["_"] name}/${name}.tar.bz2" ]; - sha256 = "02g5zj4rq5sr15jzjqk70xk4k92i2pdmpq00xb4pnba8ps1mx18a"; + sha256 = "0hd1wwplw357hn876s4n2gk7dpmd1gfw5d2c3yi21i1m09726636"; }; outputs = [ "bin" "dev" "out" "man" "devdoc" ]; @@ -94,6 +94,9 @@ stdenv.mkDerivation rec { postInstall = '' moveToOutput bin/curl-config "$dev" + + # Install completions + make -C scripts install '' + stdenv.lib.optionalString scpSupport '' sed '/^dependency_libs/s|${libssh2.dev}|${libssh2.out}|' -i "$out"/lib/*.la '' + stdenv.lib.optionalString gnutlsSupport '' diff --git a/nixpkgs/pkgs/tools/networking/dhcpcd/default.nix b/nixpkgs/pkgs/tools/networking/dhcpcd/default.nix index dfc56d440e6..7952c2b91e2 100644 --- a/nixpkgs/pkgs/tools/networking/dhcpcd/default.nix +++ b/nixpkgs/pkgs/tools/networking/dhcpcd/default.nix @@ -4,11 +4,11 @@ stdenv.mkDerivation rec { # when updating this to >=7, check, see previous reverts: # nix-build -A nixos.tests.networking.scripted.macvlan.x86_64-linux nixos/release-combined.nix pname = "dhcpcd"; - version = "8.0.3"; + version = "8.1.1"; src = fetchurl { url = "mirror://roy/${pname}/${pname}-${version}.tar.xz"; - sha256 = "07cg0sp8sk9b6ch2ajmvkbn6z08bgyx8xbd004s5mkasrlgrfx4n"; + sha256 = "10pasmx3gi09amx9y2phwi3a2d5bwih44vlkdxmx7sqgw67k0pa8"; }; nativeBuildInputs = [ pkgconfig ]; diff --git a/nixpkgs/pkgs/tools/networking/dnsmasq/default.nix b/nixpkgs/pkgs/tools/networking/dnsmasq/default.nix index bcc1f39e8fd..c009affe1ff 100644 --- a/nixpkgs/pkgs/tools/networking/dnsmasq/default.nix +++ b/nixpkgs/pkgs/tools/networking/dnsmasq/default.nix @@ -46,7 +46,7 @@ stdenv.mkDerivation rec { substituteInPlace $out/Library/LaunchDaemons/uk.org.thekelleys.dnsmasq.plist \ --replace "/usr/local/sbin" "$out/bin" '' + optionalString stdenv.isLinux '' - install -Dm644 dbus/dnsmasq.conf $out/etc/dbus-1/system.d/dnsmasq.conf + install -Dm644 dbus/dnsmasq.conf $out/share/dbus-1/system.d/dnsmasq.conf install -Dm755 contrib/lease-tools/dhcp_lease_time $out/bin/dhcp_lease_time install -Dm755 contrib/lease-tools/dhcp_release $out/bin/dhcp_release install -Dm755 contrib/lease-tools/dhcp_release6 $out/bin/dhcp_release6 diff --git a/nixpkgs/pkgs/tools/networking/envoy/default.nix b/nixpkgs/pkgs/tools/networking/envoy/default.nix deleted file mode 100644 index f35a9027353..00000000000 --- a/nixpkgs/pkgs/tools/networking/envoy/default.nix +++ /dev/null @@ -1,303 +0,0 @@ -{ stdenv, lib, fetchFromGitHub, pkgconfig, bazel, c-ares, backward-cpp -, libevent, gtest, gperftools, http-parser, lightstep-tracer-cpp -, nghttp2, protobuf, tclap, rapidjson, spdlog, boringssl, buildEnv -}: - -let - protobuf_bzl = - fetchFromGitHub { - owner = "htuch"; - repo = "protobuf"; - rev = "d490587268931da78c942a6372ef57bb53db80da"; - sha256 = "100494s66xclw88bdnpb6d386vgw0gwz90sni37q7fqmi9w99z6v"; - }; - - # Based on ci/prebuilt/BUILD - # - # The paths have been fixed up, and the static archives have been replaced - # with dynamic libraries where presently possible. - # - # At the moment, this doesn't _need_ to be a map that we dynamically create a - # BUILD file from (we could instead just include the contents directly); - # however, this sets us up to be ready if we (or upstream) decide to split - # things into multiple bazel repos, instead of one. - ccTargets = { - ares = { - pkg = c-ares; - srcs = ''["lib/libcares.so"]''; - hdrs = ''glob(["include/ares*.h"])''; - includes = ''["include"]''; - }; - - backward = { - pkg = backward-cpp; - hdrs = ''["include/backward.hpp"]''; - includes = ''["include"]''; - }; - - crypto = { - pkg = boringssl; - srcs = ''["lib/libcrypto.a"]''; - hdrs = ''glob(["include/openssl/**/*.h"])''; - includes = ''["include"]''; - }; - - event = { - pkg = libevent; - srcs = ''["lib/libevent.so"]''; - hdrs = ''glob(["include/event2/**/*.h"])''; - includes = ''["include"]''; - }; - - event_pthreads = { - pkg = libevent; - srcs = ''["lib/libevent_pthreads.so"]''; - deps = ''[":event"]''; - }; - - googletest = { - pkg = gtest; - srcs = ''[ "lib/libgmock.so", "lib/libgtest.so" ]''; - hdrs = ''glob(["include/gmock/**/*.h", "include/gtest/**/*.h"])''; - includes = ''["include"]''; - }; - - http_parser = { - pkg = http-parser; - srcs = ''["lib/libhttp_parser.so"]''; - hdrs = ''glob(["include/http_parser.h"])''; - includes = ''["include"]''; - }; - - lightstep = { - pkg = lightstep-tracer-cpp; - srcs = ''["lib/liblightstep_core_cxx11.a"]''; - hdrs = ''glob([ "include/lightstep/**/*.h", "include/mapbox_variant/**/*.hpp" ]) + [ "include/collector.pb.h", "include/lightstep_carrier.pb.h" ]''; - includes = ''["include"]''; - deps = ''[":protobuf"]''; - }; - - nghttp2 = { - pkg = nghttp2; - srcs = ''["lib/libnghttp2.so"]''; - hdrs = ''glob(["include/nghttp2/**/*.h"])''; - includes = ''["include"]''; - }; - - protobuf = { - pkg = protobuf; - srcs = ''glob(["lib/libproto*.so"])''; - hdrs = ''glob(["include/google/protobuf/**/*.h"])''; - includes = ''["include"]''; - }; - - rapidjson = { - pkg = rapidjson; - hdrs = ''glob(["include/rapidjson/**/*.h"])''; - includes = ''["include"]''; - }; - - spdlog = { - pkg = spdlog; - name = "spdlog"; - hdrs = ''glob([ "include/spdlog/**/*.cc", "include/spdlog/**/*.h" ])''; - includes = ''["include"]''; - }; - - ssl = { - pkg = boringssl; - srcs = ''["lib/libssl.a"]''; - deps = ''[":crypto"]''; - }; - - tclap = { - pkg = tclap; - hdrs = ''glob(["include/tclap/**/*.h"])''; - includes = ''["include"]''; - }; - - tcmalloc_and_profiler = { - pkg = gperftools; - srcs = ''["lib/libtcmalloc_and_profiler.so"]''; - hdrs = ''glob(["include/gperftools/**/*.h"])''; - strip_include_prefix = ''"include"''; - }; - }; - - # Generate the BUILD file. - buildFile = - let field = name: attrs: - if attrs ? ${name} then " ${name} = ${attrs.${name}},\n" else ""; - in - '' - licenses(["notice"]) # Apache 2 - - package(default_visibility = ["//visibility:public"]) - - '' + - lib.concatStringsSep "\n\n" ( - lib.mapAttrsToList (name: value: - "cc_library(\n" - + " name = \"${name}\",\n" - + field "srcs" value - + field "hdrs" value - + field "deps" value - + field "includes" value - + field "strip_include_prefix" value - + ")" - ) ccTargets - ) + '' - - filegroup( - name = "protoc", - srcs = ["bin/protoc"], - ) - ''; - - workspaceFile = - '' - workspace(name = "nix") - - load("//bazel:repositories.bzl", "envoy_dependencies") - load("//bazel:cc_configure.bzl", "cc_configure") - - new_local_repository( - name = "nix_envoy_deps", - path = "${repoEnv}", - build_file = "nix_envoy_deps.BUILD" - ) - - envoy_dependencies( - path = "@nix_envoy_deps//", - skip_protobuf_bzl = True, - ) - - new_local_repository( - name = "protobuf_bzl", - path = "${protobuf_bzl}", - # We only want protobuf.bzl, so don't support building out of this repo. - build_file_content = "", - ) - - cc_configure() - ''; - - # The tree we'll use for our new_local_repository in our generated WORKSPACE. - repoEnv = buildEnv { - name = "repo-env"; - paths = lib.concatMap (p: - lib.unique [(lib.getBin p) (lib.getLib p) (lib.getDev p)] - ) allDeps; - }; - - rpath = stdenv.lib.makeLibraryPath (allDeps ++ [ stdenv.cc.cc ]); - - allDeps = [ - c-ares - backward-cpp - libevent - gtest - gperftools - http-parser - lightstep-tracer-cpp - nghttp2 - protobuf - tclap - rapidjson - spdlog - boringssl - ]; - - # Envoy checks at runtime that the git sha is valid, - # so we really can't avoid putting some sort of sha here. - rev = "3afc7712a04907ffd25ed497626639febfe65735"; - -in - -stdenv.mkDerivation rec { - pname = "envoy"; - version = "1.3.0"; - - src = fetchFromGitHub { - owner = "lyft"; - repo = "envoy"; - rev = "v${version}"; - sha256 = "0j1c9lyvncyhiq3kyhx91ckcjd2h68x56js7xb6ni6bzxinv6zb6"; - }; - - nativeBuildInputs = [ - pkgconfig bazel - ]; - - buildInputs = allDeps; - - patches = [ ./nixos.patch ]; - - hardeningDisable = [ "all" ]; - dontPatchELF = true; - dontStrip = true; - - # set up our workspace, - # and prevent an error where bazel/get_workspace_status tries to determine the - # version by invoking git. - postUnpack = '' - cat <<'EOF' > $sourceRoot/WORKSPACE - ${workspaceFile} - EOF - - cat <<'EOF' > $sourceRoot/nix_envoy_deps.BUILD - ${buildFile} - EOF - - cat <<'EOF' > $sourceRoot/bazel/get_workspace_status - #!${stdenv.shell} - echo "BUILD_SCM_REVISION ${rev}" - echo "BUILD_SCM_STATUS Modified" - EOF - ''; - - buildPhase = '' - runHook preBuild - - mkdir .home - export HOME=$PWD/.home - - BAZEL_OPTIONS="--package_path %workspace%:$PWD" - BAZEL_BUILD_OPTIONS="\ - --strategy=Genrule=standalone \ - --spawn_strategy=standalone \ - --verbose_failures \ - $BAZEL_OPTIONS \ - --action_env=HOME \ - --action_env=PYTHONUSERBASE \ - --show_task_finish" - - bazel \ - --batch \ - build \ - -s --verbose_failures \ - --experimental_ui \ - $BAZEL_BUILD_OPTIONS \ - -c opt \ - //source/exe:envoy-static - - exe=bazel-bin/source/exe/envoy-static - chmod +w $exe - patchelf --set-rpath ${rpath} $exe - - runHook postBuild - ''; - - installPhase = '' - mkdir -p $out/bin - mv $exe $out/bin/envoy - ''; - - meta = with lib; { - description = "L7 proxy and communication bus designed for large modern service oriented architectures"; - homepage = "https://lyft.github.io/envoy/"; - license = licenses.asl20; - platforms = platforms.linux; - maintainers = with maintainers; [ cstrahan ]; - }; -} diff --git a/nixpkgs/pkgs/tools/networking/envoy/nixos.patch b/nixpkgs/pkgs/tools/networking/envoy/nixos.patch deleted file mode 100644 index 04b63a2dde9..00000000000 --- a/nixpkgs/pkgs/tools/networking/envoy/nixos.patch +++ /dev/null @@ -1,41 +0,0 @@ -diff --git a/bazel/cc_configure.bzl b/bazel/cc_configure.bzl -index 8a7a69c4f..75526520c 100644 ---- a/bazel/cc_configure.bzl -+++ b/bazel/cc_configure.bzl -@@ -292,6 +292,8 @@ def _crosstool_content(repository_ctx, cc, cpu_value, darwin): - "-fstack-protector", - # All warnings are enabled. Maybe enable -Werror as well? - "-Wall", -+ -+ "-Wno-error=strict-aliasing" - # Enable a few more warnings that aren't part of -Wall. - ] + (["-Wthread-safety", "-Wself-assign"] if darwin else [ - "-B" + str(repository_ctx.path(cc).dirname), -@@ -365,10 +367,6 @@ def _opt_content(darwin): - # Profile first and / or use FDO if you need better performance than this. - "-O2", - -- # Security hardening on by default. -- # Conservative choice; -D_FORTIFY_SOURCE=2 may be unsafe in some cases. -- "-D_FORTIFY_SOURCE=1", -- - # Disable assertions - "-DNDEBUG", - -diff --git a/bazel/envoy_build_system.bzl b/bazel/envoy_build_system.bzl -index f17671035..7938047bd 100644 ---- a/bazel/envoy_build_system.bzl -+++ b/bazel/envoy_build_system.bzl -@@ -131,11 +131,9 @@ def envoy_cc_binary(name, - # --build-id and avoid doing the following. - '-Wl,--build-id=md5', - '-Wl,--hash-style=gnu', -- "-static-libstdc++", -- "-static-libgcc", - ], - testonly = testonly, -- linkstatic = 1, -+ linkstatic = 0, - visibility = visibility, - malloc = tcmalloc_external_dep(repository), - # See above comment on MD5 hash, this is another "force MD5 stamps" to make sure our diff --git a/nixpkgs/pkgs/tools/networking/iperf/3.nix b/nixpkgs/pkgs/tools/networking/iperf/3.nix index 2f06180128a..4e2c27e2d40 100644 --- a/nixpkgs/pkgs/tools/networking/iperf/3.nix +++ b/nixpkgs/pkgs/tools/networking/iperf/3.nix @@ -14,9 +14,9 @@ stdenv.mkDerivation rec { patches = stdenv.lib.optionals stdenv.hostPlatform.isMusl [ (fetchpatch { - url = "https://git.alpinelinux.org/aports/plain/main/iperf3/remove-pg-flags.patch?id=99ec9e1c84e338629cf1b27b0fdc808bde4d8564"; + url = "https://git.alpinelinux.org/aports/plain/main/iperf3/remove-pg-flags.patch?id=7f979fc51ae31d5c695d8481ba84a4afc5080efb"; name = "remove-pg-flags.patch"; - sha256 = "0b3vcw1pdyk10764p4vlglwi1acrm7wz2jjd6li7p11v4rggrb5c"; + sha256 = "0z3zsmf7ln08rg1mmzl8s8jm5gp8x62f5cxiqcmi8dcs2nsxwgbi"; }) ]; diff --git a/nixpkgs/pkgs/tools/networking/modem-manager/default.nix b/nixpkgs/pkgs/tools/networking/modem-manager/default.nix index fedc8d4b71d..d921e841c5b 100644 --- a/nixpkgs/pkgs/tools/networking/modem-manager/default.nix +++ b/nixpkgs/pkgs/tools/networking/modem-manager/default.nix @@ -3,12 +3,12 @@ stdenv.mkDerivation rec { pname = "modem-manager"; - version = "1.10.0"; + version = "1.10.4"; package = "ModemManager"; src = fetchurl { url = "https://www.freedesktop.org/software/${package}/${package}-${version}.tar.xz"; - sha256 = "1qkfnxqvaraz1npahqvm5xc73mbxxic8msnsjmlwkni5c2ckj3zx"; + sha256 = "0w6wdj9dh7zwhzl68775h1ni6zcgvss524dp17kph50zpas6nmgs"; }; nativeBuildInputs = [ vala gobject-introspection gettext pkgconfig ]; @@ -18,7 +18,7 @@ stdenv.mkDerivation rec { configureFlags = [ "--with-polkit" "--with-udev-base-dir=${placeholder "out"}/lib/udev" - "--with-dbus-sys-dir=${placeholder "out"}/etc/dbus-1/system.d" + "--with-dbus-sys-dir=${placeholder "out"}/share/dbus-1/system.d" "--with-systemdsystemunitdir=${placeholder "out"}/etc/systemd/system" "--sysconfdir=/etc" "--localstatedir=/var" diff --git a/nixpkgs/pkgs/tools/networking/network-manager/applet.nix b/nixpkgs/pkgs/tools/networking/network-manager/applet.nix index 1a6538ad104..947ede5372a 100644 --- a/nixpkgs/pkgs/tools/networking/network-manager/applet.nix +++ b/nixpkgs/pkgs/tools/networking/network-manager/applet.nix @@ -6,13 +6,13 @@ let pname = "network-manager-applet"; - version = "1.8.22"; + version = "1.8.24"; in stdenv.mkDerivation rec { name = "${pname}-${version}"; src = fetchurl { url = "mirror://gnome/sources/${pname}/${stdenv.lib.versions.majorMinor version}/${name}.tar.xz"; - sha256 = "1vbyhxknixyrf75pbjl3rxcy32m8y9cx5s30s3598vgza081rvzb"; + sha256 = "1gzvz4wfqfsfclqg56y954al8x6fmz71cnxlx1i4nqr7a25bp2qi"; }; mesonFlags = [ diff --git a/nixpkgs/pkgs/tools/networking/network-manager/default.nix b/nixpkgs/pkgs/tools/networking/network-manager/default.nix index 490ebd0fa6b..ffa56363ad6 100644 --- a/nixpkgs/pkgs/tools/networking/network-manager/default.nix +++ b/nixpkgs/pkgs/tools/networking/network-manager/default.nix @@ -1,4 +1,4 @@ -{ stdenv, fetchurl, substituteAll, intltool, pkgconfig, dbus, dbus-glib +{ stdenv, fetchurl, substituteAll, intltool, pkgconfig, fetchpatch, dbus , gnome3, systemd, libuuid, polkit, gnutls, ppp, dhcp, iptables, python3, vala , libgcrypt, dnsmasq, bluez5, readline, libselinux, audit , gobject-introspection, modemmanager, openresolv, libndp, newt, libsoup @@ -10,11 +10,11 @@ let pythonForDocs = python3.withPackages (pkgs: with pkgs; [ pygobject3 ]); in stdenv.mkDerivation rec { pname = "network-manager"; - version = "1.18.2"; + version = "1.20.2"; src = fetchurl { url = "mirror://gnome/sources/NetworkManager/${stdenv.lib.versions.majorMinor version}/NetworkManager-${version}.tar.xz"; - sha256 = "1hx5dx5dgdqh3p8fq7q1pxy2bx2iymc74lj60ycrf7ydfjlprnad"; + sha256 = "115cgz448vypc7c592lqqjd7lp2kzdczhjk4ran6qls65hzkfkji"; }; outputs = [ "out" "dev" "devdoc" "man" "doc" ]; @@ -33,7 +33,7 @@ in stdenv.mkDerivation rec { # to enable link-local connections "-Dudev_dir=${placeholder "out"}/lib/udev" "-Dresolvconf=${openresolv}/bin/resolvconf" - "-Ddbus_conf_dir=${placeholder "out"}/etc/dbus-1/system.d" + "-Ddbus_conf_dir=${placeholder "out"}/share/dbus-1/system.d" "-Dsystemdsystemunitdir=${placeholder "out"}/etc/systemd/system" "-Dkernel_firmware_dir=/run/current-system/firmware" "--sysconfdir=/etc" @@ -43,8 +43,6 @@ in stdenv.mkDerivation rec { "-Dmodem_manager=true" "-Dnmtui=true" "-Ddocs=true" - # TODO: legacy library, will be *removed* in next release! - "-Dlibnm_glib=true" "-Dtests=no" "-Dqt=false" # Allow using iwd when configured to do so @@ -53,6 +51,15 @@ in stdenv.mkDerivation rec { ]; patches = [ + # 1.20.2 added a decorators.sh script but they forgot to distribute it (breaking the build) + # as it was to fix things with gtk-doc 1.32 we can safely revert it. + (fetchpatch { + url = "https://gitlab.freedesktop.org/NetworkManager/NetworkManager/commit/2d941dc95a1d94d023ac8f98df2f344dbb1d223e.patch"; + sha256 = "1mvbajddwd6diwk6dgjg5p65i6852gx6b9p3949rs63d2i6yzg21"; + excludes = [ "tools/decorators.sh" ]; + revert = true; + }) + (substituteAll { src = ./fix-paths.patch; inherit iputils kmod openconnect ethtool gnused dbus; @@ -69,19 +76,17 @@ in stdenv.mkDerivation rec { bluez5 dnsmasq gobject-introspection modemmanager readline newt libsoup jansson ]; - propagatedBuildInputs = [ dbus-glib gnutls libgcrypt ]; + propagatedBuildInputs = [ gnutls libgcrypt ]; nativeBuildInputs = [ meson ninja intltool pkgconfig - vala gobject-introspection - dbus-glib # for dbus-binding-tool + vala gobject-introspection dbus # Docs gtk-doc libxslt docbook_xsl docbook_xml_dtd_412 docbook_xml_dtd_42 docbook_xml_dtd_43 pythonForDocs ]; doCheck = false; # requires /sys, the net - postPatch = '' patchShebangs ./tools patchShebangs libnm/generate-setting-docs.py @@ -96,13 +101,6 @@ in stdenv.mkDerivation rec { ln -s $PWD/libnm/libnm.so.0 ${placeholder "out"}/lib/libnm.so.0 ''; - postInstall = '' - # Add the legacy service name from before #51382 to prevent NetworkManager - # from not starting back up: - # TODO: remove this once 19.10 is released - ln -s $out/etc/systemd/system/NetworkManager.service $out/etc/systemd/system/network-manager.service - ''; - passthru = { updateScript = gnome3.updateScript { packageName = pname; diff --git a/nixpkgs/pkgs/tools/networking/network-manager/fix-install-paths.patch b/nixpkgs/pkgs/tools/networking/network-manager/fix-install-paths.patch index 068b9c8266b..5798c1edfb6 100644 --- a/nixpkgs/pkgs/tools/networking/network-manager/fix-install-paths.patch +++ b/nixpkgs/pkgs/tools/networking/network-manager/fix-install-paths.patch @@ -1,6 +1,8 @@ +diff --git a/meson.build b/meson.build +index 4105a9c80..3d912557f 100644 --- a/meson.build +++ b/meson.build -@@ -925,9 +925,9 @@ +@@ -884,9 +884,9 @@ meson.add_install_script( join_paths('tools', 'meson-post-install.sh'), nm_datadir, nm_bindir, @@ -12,9 +14,11 @@ enable_docs ? 'install_docs' : '', nm_mandir, ) +diff --git a/src/settings/plugins/ifcfg-rh/meson.build b/src/settings/plugins/ifcfg-rh/meson.build +index 58acdcfcb..e3a16d597 100644 --- a/src/settings/plugins/ifcfg-rh/meson.build +++ b/src/settings/plugins/ifcfg-rh/meson.build -@@ -70,7 +70,7 @@ +@@ -69,7 +69,7 @@ install_data( ) meson.add_install_script('sh', '-c', diff --git a/nixpkgs/pkgs/tools/networking/network-manager/fix-paths.patch b/nixpkgs/pkgs/tools/networking/network-manager/fix-paths.patch index 938fcbdc771..015c540c0ed 100644 --- a/nixpkgs/pkgs/tools/networking/network-manager/fix-paths.patch +++ b/nixpkgs/pkgs/tools/networking/network-manager/fix-paths.patch @@ -1,6 +1,8 @@ +diff --git a/clients/common/nm-vpn-helpers.c b/clients/common/nm-vpn-helpers.c +index 204b7c286..8bdb734c2 100644 --- a/clients/common/nm-vpn-helpers.c +++ b/clients/common/nm-vpn-helpers.c -@@ -214,10 +214,7 @@ +@@ -215,10 +215,7 @@ nm_vpn_openconnect_authenticate_helper (const char *host, NULL, }; @@ -10,21 +12,25 @@ - return FALSE; + path = "@openconnect@/bin/openconnect"; - argv[0] = (char *) path; - argv[1] = "--authenticate"; + if (!g_spawn_sync (NULL, + (char **) NM_MAKE_STRV (path, "--authenticate", host), +diff --git a/data/84-nm-drivers.rules b/data/84-nm-drivers.rules +index e398cb9f2..31c56596a 100644 --- a/data/84-nm-drivers.rules +++ b/data/84-nm-drivers.rules -@@ -7,6 +7,6 @@ +@@ -7,6 +7,6 @@ ACTION!="add|change", GOTO="nm_drivers_end" # Determine ID_NET_DRIVER if there's no ID_NET_DRIVER or DRIVERS (old udev?) ENV{ID_NET_DRIVER}=="?*", GOTO="nm_drivers_end" DRIVERS=="?*", GOTO="nm_drivers_end" --PROGRAM="/bin/sh -c 'ethtool -i $1 | sed -n s/^driver:\ //p' -- $env{INTERFACE}", RESULT=="?*", ENV{ID_NET_DRIVER}="%c" -+PROGRAM="@shell@ -c '@ethtool@/bin/ethtool -i $1 | @gnused@/bin/sed -n s/^driver:\ //p' -- $env{INTERFACE}", RESULT=="?*", ENV{ID_NET_DRIVER}="%c" +-PROGRAM="/bin/sh -c '/usr/sbin/ethtool -i $$1 |/usr/bin/sed -n s/^driver:\ //p' -- $env{INTERFACE}", ENV{ID_NET_DRIVER}="%c" ++PROGRAM="@shell@ -c '@ethtool@/bin/ethtool -i $$1 |@gnused@/bin/sed -n s/^driver:\ //p' -- $env{INTERFACE}", ENV{ID_NET_DRIVER}="%c" LABEL="nm_drivers_end" +diff --git a/data/NetworkManager.service.in b/data/NetworkManager.service.in +index 2f442bf23..c3e797bf4 100644 --- a/data/NetworkManager.service.in +++ b/data/NetworkManager.service.in -@@ -8,7 +8,7 @@ +@@ -8,7 +8,7 @@ Before=network.target @DISTRO_NETWORK_SERVICE@ [Service] Type=dbus BusName=org.freedesktop.NetworkManager @@ -33,9 +39,11 @@ #ExecReload=/bin/kill -HUP $MAINPID ExecStart=@sbindir@/NetworkManager --no-daemon Restart=on-failure +diff --git a/src/devices/nm-device.c b/src/devices/nm-device.c +index 823cf48a5..cda16e48d 100644 --- a/src/devices/nm-device.c +++ b/src/devices/nm-device.c -@@ -12451,14 +12451,14 @@ nm_device_start_ip_check (NMDevice *self) +@@ -12822,14 +12822,14 @@ nm_device_start_ip_check (NMDevice *self) gw = nm_ip4_config_best_default_route_get (priv->ip_config_4); if (gw) { nm_utils_inet4_ntop (NMP_OBJECT_CAST_IP4_ROUTE (gw)->gateway, buf); @@ -53,10 +61,10 @@ } } diff --git a/src/nm-core-utils.c b/src/nm-core-utils.c -index 6f55e62a7..93721e7fb 100644 +index d896d4d33..4cacb5cb6 100644 --- a/src/nm-core-utils.c +++ b/src/nm-core-utils.c -@@ -442,7 +442,7 @@ nm_utils_modprobe (GError **error, gboolean suppress_error_logging, const char * +@@ -446,7 +446,7 @@ nm_utils_modprobe (GError **error, gboolean suppress_error_logging, const char * /* construct the argument list */ argv = g_ptr_array_sized_new (4); diff --git a/nixpkgs/pkgs/tools/networking/network-manager/fortisslvpn/default.nix b/nixpkgs/pkgs/tools/networking/network-manager/fortisslvpn/default.nix index 7773548576b..53d6ab2fbcf 100644 --- a/nixpkgs/pkgs/tools/networking/network-manager/fortisslvpn/default.nix +++ b/nixpkgs/pkgs/tools/networking/network-manager/fortisslvpn/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, substituteAll, openfortivpn, intltool, pkgconfig, file, gtk3, -networkmanager, ppp, libsecret, withGnome ? true, gnome3 }: +networkmanager, ppp, libsecret, withGnome ? true, gnome3, fetchpatch }: let pname = "NetworkManager-fortisslvpn"; @@ -17,6 +17,11 @@ in stdenv.mkDerivation { src = ./fix-paths.patch; inherit openfortivpn; }) + # Don't use etc/dbus-1/system.d + (fetchpatch { + url = "https://gitlab.gnome.org/GNOME/NetworkManager-fortisslvpn/merge_requests/11.patch"; + sha256 = "0l7l2r1njh62lh2pf497ibf99sgkvjsj58xr76qx3jxgq9zfw6n9"; + }) ]; buildInputs = [ openfortivpn networkmanager ppp ] @@ -27,10 +32,14 @@ in stdenv.mkDerivation { configureFlags = [ "--without-libnm-glib" "--with-gnome=${if withGnome then "yes" else "no"}" - "--localstatedir=/tmp" + "--localstatedir=/var" "--enable-absolute-paths" ]; + # the installer only create an empty directory in localstatedir, so + # we can drop it + installFlags = [ "localstatedir=." ]; + passthru = { updateScript = gnome3.updateScript { packageName = pname; diff --git a/nixpkgs/pkgs/tools/networking/network-manager/iodine/default.nix b/nixpkgs/pkgs/tools/networking/network-manager/iodine/default.nix index a7f5bbf145e..966827a07da 100644 --- a/nixpkgs/pkgs/tools/networking/network-manager/iodine/default.nix +++ b/nixpkgs/pkgs/tools/networking/network-manager/iodine/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, substituteAll, iodine, intltool, pkgconfig, networkmanager, libsecret, gtk3 -, withGnome ? true, gnome3 }: +, withGnome ? true, gnome3, fetchpatch }: let pname = "NetworkManager-iodine"; @@ -17,6 +17,11 @@ in stdenv.mkDerivation { src = ./fix-paths.patch; inherit iodine; }) + # Don't use etc/dbus-1/system.d + (fetchpatch { + url = "https://gitlab.gnome.org/GNOME/network-manager-iodine/merge_requests/2.patch"; + sha256 = "108pkf0mddj32s46k7jkmpwcaq2ylci4dqpp7wck3zm9q2jffff2"; + }) ]; buildInputs = [ iodine networkmanager ] diff --git a/nixpkgs/pkgs/tools/networking/network-manager/openconnect/default.nix b/nixpkgs/pkgs/tools/networking/network-manager/openconnect/default.nix index 4236c9e1818..7db0e59beab 100644 --- a/nixpkgs/pkgs/tools/networking/network-manager/openconnect/default.nix +++ b/nixpkgs/pkgs/tools/networking/network-manager/openconnect/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, substituteAll, openconnect, intltool, pkgconfig, autoreconfHook, networkmanager, gcr, libsecret, file -, gtk3, withGnome ? true, gnome3, kmod }: +, gtk3, withGnome ? true, gnome3, kmod, fetchpatch }: let pname = "NetworkManager-openconnect"; @@ -17,6 +17,11 @@ in stdenv.mkDerivation { src = ./fix-paths.patch; inherit kmod openconnect; }) + # Don't use etc/dbus-1/system.d + (fetchpatch { + url = "https://gitlab.gnome.org/GNOME/NetworkManager-openconnect/merge_requests/9.patch"; + sha256 = "0yd2dmq6gq6y4czr7dqdgaiqvw2vyv2gikznpfdxyfn2v1pcrk9m"; + }) ]; buildInputs = [ openconnect networkmanager ] diff --git a/nixpkgs/pkgs/tools/networking/network-manager/openvpn/default.nix b/nixpkgs/pkgs/tools/networking/network-manager/openvpn/default.nix index 04ec49f7b9b..bedc7875fb7 100644 --- a/nixpkgs/pkgs/tools/networking/network-manager/openvpn/default.nix +++ b/nixpkgs/pkgs/tools/networking/network-manager/openvpn/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, substituteAll, openvpn, intltool, libxml2, pkgconfig, file, networkmanager, libsecret -, gtk3, withGnome ? true, gnome3, kmod }: +, gtk3, withGnome ? true, gnome3, kmod, fetchpatch }: let pname = "NetworkManager-openvpn"; @@ -17,6 +17,11 @@ in stdenv.mkDerivation { src = ./fix-paths.patch; inherit kmod openvpn; }) + # Don't use etc/dbus-1/system.d + (fetchpatch { + url = "https://gitlab.gnome.org/GNOME/NetworkManager-openvpn/merge_requests/13.patch"; + sha256 = "06cvqi28v72dd53fw8ix95mqj885xhwi8qcs2q7hvm5bvnhwn704"; + }) ]; buildInputs = [ openvpn networkmanager ] diff --git a/nixpkgs/pkgs/tools/networking/network-manager/vpnc/default.nix b/nixpkgs/pkgs/tools/networking/network-manager/vpnc/default.nix index 1aa4cd5658b..af5b19eb5db 100644 --- a/nixpkgs/pkgs/tools/networking/network-manager/vpnc/default.nix +++ b/nixpkgs/pkgs/tools/networking/network-manager/vpnc/default.nix @@ -1,5 +1,5 @@ { stdenv, fetchurl, substituteAll, vpnc, intltool, pkgconfig, networkmanager, libsecret -, gtk3, withGnome ? true, gnome3, kmod, file }: +, gtk3, withGnome ? true, gnome3, kmod, file, fetchpatch }: let pname = "NetworkManager-vpnc"; version = "1.2.6"; @@ -16,6 +16,11 @@ in stdenv.mkDerivation { src = ./fix-paths.patch; inherit vpnc kmod; }) + # Don't use etc/dbus-1/system.d + (fetchpatch { + url = "https://gitlab.gnome.org/GNOME/NetworkManager-vpnc/merge_requests/5.patch"; + sha256 = "0z0x5vqmrsap3ynamhya7gh6c6k5grhj2vqpy76alnv9xns8dzi6"; + }) ]; buildInputs = [ vpnc networkmanager ] diff --git a/nixpkgs/pkgs/tools/networking/offlineimap/default.nix b/nixpkgs/pkgs/tools/networking/offlineimap/default.nix index 16734df1fb0..a14f8187b7d 100644 --- a/nixpkgs/pkgs/tools/networking/offlineimap/default.nix +++ b/nixpkgs/pkgs/tools/networking/offlineimap/default.nix @@ -2,14 +2,14 @@ asciidoc, cacert, libxml2, libxslt, docbook_xsl }: python2Packages.buildPythonApplication rec { - version = "7.2.4"; + version = "7.3.0"; pname = "offlineimap"; src = fetchFromGitHub { owner = "OfflineIMAP"; repo = "offlineimap"; rev = "v${version}"; - sha256 = "0h5q5nk2p2vx86w6rrbs7v70h81dpqqr68x6l3klzl3m0yj9agb1"; + sha256 = "0v32s09zgi3jg2grwh1xzzgzpw333b9qflai7zh2hv3fx9xnfbyj"; }; postPatch = '' @@ -23,7 +23,7 @@ python2Packages.buildPythonApplication rec { doCheck = false; nativeBuildInputs = [ asciidoc libxml2 libxslt docbook_xsl ]; - propagatedBuildInputs = with python2Packages; [ six kerberos ]; + propagatedBuildInputs = with python2Packages; [ six kerberos rfc6555 ]; postInstall = '' make -C docs man @@ -35,6 +35,6 @@ python2Packages.buildPythonApplication rec { description = "Synchronize emails between two repositories, so that you can read the same mailbox from multiple computers"; homepage = http://offlineimap.org; license = stdenv.lib.licenses.gpl2Plus; - maintainers = []; + maintainers = with stdenv.lib.maintainers; [ endocrimes ma27 ]; }; } diff --git a/nixpkgs/pkgs/tools/networking/openresolv/default.nix b/nixpkgs/pkgs/tools/networking/openresolv/default.nix index 73cb98060a0..190c903d9c1 100644 --- a/nixpkgs/pkgs/tools/networking/openresolv/default.nix +++ b/nixpkgs/pkgs/tools/networking/openresolv/default.nix @@ -2,11 +2,11 @@ stdenv.mkDerivation rec { pname = "openresolv"; - version = "3.9.1"; + version = "3.9.2"; src = fetchurl { url = "mirror://roy/openresolv/${pname}-${version}.tar.xz"; - sha256 = "1wlzi88837rf4ygswmzpbcmgkbbjhn5n322n9q6ir6x367hygf1q"; + sha256 = "0wyk9sl1xgvxjvj1v3nlgs79nykdr0b76k5zp3v6cm9fd10y5mql"; }; buildInputs = [ makeWrapper ]; diff --git a/nixpkgs/pkgs/tools/networking/strongswan/default.nix b/nixpkgs/pkgs/tools/networking/strongswan/default.nix index 869e568d369..bb772c1b101 100644 --- a/nixpkgs/pkgs/tools/networking/strongswan/default.nix +++ b/nixpkgs/pkgs/tools/networking/strongswan/default.nix @@ -1,6 +1,6 @@ -{ stdenv, fetchurl +{ stdenv, fetchurl, fetchpatch , pkgconfig, autoreconfHook -, gmp, python, iptables, ldns, unbound, openssl, pcsclite +, gmp, python, iptables, ldns, unbound, openssl, pcsclite, glib , openresolv , systemd, pam , curl @@ -32,12 +32,18 @@ stdenv.mkDerivation rec { ++ optionals enableTNC [ trousers sqlite libxml2 ] ++ optionals stdenv.isLinux [ systemd.dev pam iptables ] ++ optionals stdenv.isDarwin (with darwin.apple_sdk.frameworks; [ SystemConfiguration ]) - ++ optionals enableNetworkManager [ networkmanager ]; + ++ optionals enableNetworkManager [ networkmanager glib ]; patches = [ ./ext_auth-path.patch ./firewall_defaults.patch ./updown-path.patch + + # Don't use etc/dbus-1/system.d + (fetchpatch { + url = "https://patch-diff.githubusercontent.com/raw/strongswan/strongswan/pull/150.patch"; + sha256 = "1irfxb99blb8v3hs0kmlhzkkwbmds1p0gq319z8lmacz36cgyj2c"; + }) ]; postPatch = optionalString stdenv.isLinux '' diff --git a/nixpkgs/pkgs/tools/networking/zerotierone/default.nix b/nixpkgs/pkgs/tools/networking/zerotierone/default.nix index 367c518ad82..58f2e816008 100644 --- a/nixpkgs/pkgs/tools/networking/zerotierone/default.nix +++ b/nixpkgs/pkgs/tools/networking/zerotierone/default.nix @@ -2,13 +2,13 @@ stdenv.mkDerivation rec { pname = "zerotierone"; - version = "1.4.4"; + version = "1.4.6"; src = fetchFromGitHub { owner = "zerotier"; repo = "ZeroTierOne"; rev = version; - sha256 = "1b9qm01ximz2j6yimp7bs86h4kaz8jsjxxb6c2js43dzp98k0m94"; + sha256 = "1f8hh05wx59dc0fbzdzwq05x0gmrdfl4v103wbcyjmzsbazaw6p3"; }; preConfigure = '' diff --git a/nixpkgs/pkgs/tools/package-management/cargo-audit/default.nix b/nixpkgs/pkgs/tools/package-management/cargo-audit/default.nix index 45422f613d0..8935519f835 100644 --- a/nixpkgs/pkgs/tools/package-management/cargo-audit/default.nix +++ b/nixpkgs/pkgs/tools/package-management/cargo-audit/default.nix @@ -1,16 +1,16 @@ { stdenv, lib, rustPlatform, fetchFromGitHub, openssl, pkg-config, Security, libiconv }: rustPlatform.buildRustPackage rec { pname = "cargo-audit"; - version = "0.9.1"; + version = "0.9.3"; src = fetchFromGitHub { owner = "RustSec"; repo = "cargo-audit"; rev = "v${version}"; - sha256 = "0j556dh0lf2l8nq7pfl5bbypgsvp00fh6ckms9wr4dgb8xvpf2r1"; + sha256 = "0yd3qj475hh394f1ya0hwki3pbzkfyz5cssy28cq9bimibxhyfd8"; }; - cargoSha256 = "0200x0bdllq7mpxmp7ly5jarpkc3gpg22gxq8qvdbnmyd39b7wx0"; + cargoSha256 = "0ba4xrjrh8cbi4pksax3gs7jaiw5mrb9hdrdwaghkikmiza1c08g"; buildInputs = [ openssl libiconv ] ++ lib.optionals stdenv.isDarwin [ Security ]; nativeBuildInputs = [ pkg-config ]; diff --git a/nixpkgs/pkgs/tools/package-management/cargo-deps/default.nix b/nixpkgs/pkgs/tools/package-management/cargo-deps/default.nix new file mode 100644 index 00000000000..8a43fb3cf11 --- /dev/null +++ b/nixpkgs/pkgs/tools/package-management/cargo-deps/default.nix @@ -0,0 +1,23 @@ +{ lib, fetchFromGitHub, rustPlatform }: + +rustPlatform.buildRustPackage rec { + pname = "cargo-deps"; + version = "1.1.1"; + + src = fetchFromGitHub { + owner = "m-cat"; + repo = pname; + rev = "ab93f5655900e49fb0360ccaf72b2b61b6b428ef"; + sha256 = "16181p7ghvy9mqippg1xi2cw7yxvicis8v6n39wly5qw05i57aw2"; + }; + + cargoSha256 = "1a9svdw1cgk6s7gqpsq3r25wxa2gr2xddqkc1cjk7hf6sk327cpv"; + + meta = with lib; { + description = "Cargo subcommand for building dependency graphs of Rust projects"; + homepage = https://github.com/m-cat/cargo-deps; + license = licenses.mit; + maintainers = with maintainers; [ arcnmx ]; + platforms = platforms.all; + }; +} diff --git a/nixpkgs/pkgs/tools/package-management/disnix/default.nix b/nixpkgs/pkgs/tools/package-management/disnix/default.nix index 50e071be29c..c9223c9af15 100644 --- a/nixpkgs/pkgs/tools/package-management/disnix/default.nix +++ b/nixpkgs/pkgs/tools/package-management/disnix/default.nix @@ -8,6 +8,10 @@ stdenv.mkDerivation { sha256 = "1kc4520zjc1z72mknylfvrsyda9rbmm5c9mw8w13zhdwg3zbna06"; }; + configureFlags = [ + " --with-dbus-sys=${placeholder "out"}/share/dbus-1/system.d" + ]; + nativeBuildInputs = [ pkgconfig ]; buildInputs = [ glib libxml2 libxslt getopt nixUnstable libintl libiconv dysnomia ]; diff --git a/nixpkgs/pkgs/tools/package-management/nox/default.nix b/nixpkgs/pkgs/tools/package-management/nox/default.nix index c22a8a5e85e..673fc89e98d 100644 --- a/nixpkgs/pkgs/tools/package-management/nox/default.nix +++ b/nixpkgs/pkgs/tools/package-management/nox/default.nix @@ -19,6 +19,7 @@ python3Packages.buildPythonApplication rec { click requests characteristic + setuptools ]; meta = { diff --git a/nixpkgs/pkgs/tools/package-management/packagekit/default.nix b/nixpkgs/pkgs/tools/package-management/packagekit/default.nix index a82f4400891..a3c3c0d40a9 100644 --- a/nixpkgs/pkgs/tools/package-management/packagekit/default.nix +++ b/nixpkgs/pkgs/tools/package-management/packagekit/default.nix @@ -39,8 +39,8 @@ stdenv.mkDerivation rec { "--disable-offline-update" "--localstatedir=/var" "--sysconfdir=/etc" - "--with-dbus-sys=$(out)/etc/dbus-1/system.d" - "--with-systemdsystemunitdir=$(out)/lib/systemd/system/" + "--with-dbus-sys=${placeholder "out"}/share/dbus-1/system.d" + "--with-systemdsystemunitdir=${placeholder "out"}/lib/systemd/system/" ] ++ lib.optional enableNixBackend "--enable-nix" ++ lib.optional (!enableBashCompletion) "--disable-bash-completion" diff --git a/nixpkgs/pkgs/tools/package-management/reuse/default.nix b/nixpkgs/pkgs/tools/package-management/reuse/default.nix index 9e5a3f4d697..d77398610fb 100644 --- a/nixpkgs/pkgs/tools/package-management/reuse/default.nix +++ b/nixpkgs/pkgs/tools/package-management/reuse/default.nix @@ -4,16 +4,24 @@ with python3Packages; buildPythonApplication rec { pname = "reuse"; - version = "0.4.1"; + version = "0.5.0"; src = fetchFromGitHub { owner = "fsfe"; repo = "reuse-tool"; rev = "v${version}"; - sha256 = "0gwipwikhxsk0p8wvdl90xm7chfi2jywb1namzznyymifl1vsbgh"; + sha256 = "1w17g6jvs715rjc93nnnqnfdphijq4ymj9jjkr3ccc286ywvn3ih"; }; - propagatedBuildInputs = [ debian license-expression requests ]; + propagatedBuildInputs = [ + binaryornot + boolean-py + debian + jinja2 + license-expression + requests + setuptools + ]; checkInputs = [ pytest ]; diff --git a/nixpkgs/pkgs/tools/security/fprintd/default.nix b/nixpkgs/pkgs/tools/security/fprintd/default.nix index 201780b1492..ab2de77b6b1 100644 --- a/nixpkgs/pkgs/tools/security/fprintd/default.nix +++ b/nixpkgs/pkgs/tools/security/fprintd/default.nix @@ -1,6 +1,7 @@ { thinkpad ? false , stdenv , fetchurl +, fetchpatch , pkgconfig , intltool , libfprint-thinkpad ? null @@ -22,6 +23,13 @@ stdenv.mkDerivation rec { sha256 = "182gcnwb6zjwmk0dn562rjmpbk7ac7dhipbfdhfic2sn1jzis49p"; }; + patches = [ + (fetchpatch { + url = "https://gitlab.freedesktop.org/libfprint/fprintd/merge_requests/16.patch"; + sha256 = "1y39zsmxjll9hip8464qwhq5qg06c13pnafyafgxdph75lvhdll7"; + }) + ]; + nativeBuildInputs = [ intltool pkgconfig diff --git a/nixpkgs/pkgs/tools/security/keybase/default.nix b/nixpkgs/pkgs/tools/security/keybase/default.nix index 1a2b06ea41f..559ba0383bd 100644 --- a/nixpkgs/pkgs/tools/security/keybase/default.nix +++ b/nixpkgs/pkgs/tools/security/keybase/default.nix @@ -5,7 +5,7 @@ buildGoPackage rec { pname = "keybase"; - version = "4.3.1"; + version = "4.6.0"; goPackagePath = "github.com/keybase/client"; subPackages = [ "go/keybase" ]; @@ -16,7 +16,7 @@ buildGoPackage rec { owner = "keybase"; repo = "client"; rev = "v${version}"; - sha256 = "1743d7a7ix882yxz9pk230vdvdj46sbscqv4wqyhb0la2pl9jqdp"; + sha256 = "1aqj5s3vfji1zl7xdzphnsw3b8pnbg22n9rzdxkcdjf7via5wz2k"; }; buildInputs = lib.optionals stdenv.isDarwin [ AVFoundation AudioToolbox ImageIO CoreMedia Foundation CoreGraphics MediaToolbox ]; diff --git a/nixpkgs/pkgs/tools/security/keybase/gui.nix b/nixpkgs/pkgs/tools/security/keybase/gui.nix index 944e73dd46c..aa4db75cef1 100644 --- a/nixpkgs/pkgs/tools/security/keybase/gui.nix +++ b/nixpkgs/pkgs/tools/security/keybase/gui.nix @@ -4,16 +4,16 @@ , runtimeShell, gsettings-desktop-schemas }: let - versionSuffix = "20190813132700.6f497ec371"; + versionSuffix = "20191010154240.134c2d892b"; in stdenv.mkDerivation rec { pname = "keybase-gui"; - version = "4.3.1"; # Find latest version from https://prerelease.keybase.io/deb/dists/stable/main/binary-amd64/Packages + version = "4.6.0"; # Find latest version from https://prerelease.keybase.io/deb/dists/stable/main/binary-amd64/Packages src = fetchurl { url = "https://s3.amazonaws.com/prerelease.keybase.io/linux_binaries/deb/keybase_${version + "-" + versionSuffix}_amd64.deb"; - sha256 = "1mbbfy1aijqr8209jjja6dm2nzw721qqw94839df047rcwnd38pg"; + sha256 = "a25f0c676c00d306859d32e4dad7a23dd4955fa0b352be50c281081f2cf000ae"; }; nativeBuildInputs = [ diff --git a/nixpkgs/pkgs/tools/security/sudo/default.nix b/nixpkgs/pkgs/tools/security/sudo/default.nix index 9714943b30d..c3e464da516 100644 --- a/nixpkgs/pkgs/tools/security/sudo/default.nix +++ b/nixpkgs/pkgs/tools/security/sudo/default.nix @@ -5,14 +5,14 @@ }: stdenv.mkDerivation rec { - name = "sudo-1.8.27"; + name = "sudo-1.8.28"; src = fetchurl { urls = [ "ftp://ftp.sudo.ws/pub/sudo/${name}.tar.gz" "ftp://ftp.sudo.ws/pub/sudo/OLD/${name}.tar.gz" ]; - sha256 = "1h1f7v9pv0rzp14cxzv8kaa8mdd717fbqv83l7c5dvvi8jwnisvv"; + sha256 = "188k3w67aflbmi4b5z23pxrvzfcfndi22b84w86gzjh8b9sglaci"; }; prePatch = '' diff --git a/nixpkgs/pkgs/tools/system/facter/default.nix b/nixpkgs/pkgs/tools/system/facter/default.nix index ee9cdf36129..ad90a52a5bd 100644 --- a/nixpkgs/pkgs/tools/system/facter/default.nix +++ b/nixpkgs/pkgs/tools/system/facter/default.nix @@ -2,29 +2,30 @@ stdenv.mkDerivation rec { pname = "facter"; - version = "3.13.2"; + version = "3.14.5"; src = fetchFromGitHub { - sha256 = "1yaj1qlyzsaffzpm4zmzm53mc6bhpzka8wc3dfk909nzykxg34zf"; + sha256 = "0xzzhlsfw8yd3ac4kvr3za0rlkgfw28dzxzi5i1qbhzljivvipm5"; rev = version; - repo = "facter"; + repo = pname; owner = "puppetlabs"; }; CXXFLAGS = "-fpermissive -Wno-error=catch-value"; NIX_LDFLAGS = "-lblkid"; - cmakeFlags = [ "-DFACTER_RUBY=${ruby}/lib/libruby.so" ]; + cmakeFlags = [ + "-DFACTER_RUBY=${ruby}/lib/libruby.so" + "-DRUBY_LIB_INSTALL=${placeholder "out"}/lib/ruby" + ]; - # since we cant expand $out in cmakeFlags - preConfigure = "cmakeFlags+=\" -DRUBY_LIB_INSTALL=$out/lib/ruby\""; - - buildInputs = [ boost cmake cpp-hocon curl leatherman libwhereami libyamlcpp openssl ruby utillinux ]; + nativeBuildInputs = [ cmake ]; + buildInputs = [ boost cpp-hocon curl leatherman libwhereami libyamlcpp openssl ruby utillinux ]; enableParallelBuilding = true; meta = with stdenv.lib; { - homepage = https://github.com/puppetlabs/facter; + homepage = "https://github.com/puppetlabs/facter"; description = "A system inventory tool"; license = licenses.asl20; maintainers = [ maintainers.womfoo ]; diff --git a/nixpkgs/pkgs/tools/system/netdata/default.nix b/nixpkgs/pkgs/tools/system/netdata/default.nix index b04bc905ec0..ff6a6e03cc8 100644 --- a/nixpkgs/pkgs/tools/system/netdata/default.nix +++ b/nixpkgs/pkgs/tools/system/netdata/default.nix @@ -12,12 +12,12 @@ with stdenv.lib; stdenv.mkDerivation rec { - version = "1.18.0"; + version = "1.18.1"; pname = "netdata"; src = fetchurl { url = "https://github.com/netdata/netdata/releases/download/v${version}/netdata-v${version}.tar.gz"; - sha256 = "1ay22x3ydmfh7649scampr0xvgzb32rvbs6fk57xx64sav8vx607"; + sha256 = "08g5jp63k8y5gbg8v9hxj75q0533c6cyzpjml9z1g5h2h4zaik1r"; }; nativeBuildInputs = [ autoreconfHook pkgconfig ]; diff --git a/nixpkgs/pkgs/tools/system/rsyslog/default.nix b/nixpkgs/pkgs/tools/system/rsyslog/default.nix index 34b7b7d908a..8cf1b16a37d 100644 --- a/nixpkgs/pkgs/tools/system/rsyslog/default.nix +++ b/nixpkgs/pkgs/tools/system/rsyslog/default.nix @@ -11,11 +11,12 @@ let mkFlag = cond: name: if cond then "--enable-${name}" else "--disable-${name}"; in stdenv.mkDerivation rec { - name = "rsyslog-8.1907.0"; + pname = "rsyslog"; + version = "8.1910.0"; src = fetchurl { - url = "https://www.rsyslog.com/files/download/rsyslog/${name}.tar.gz"; - sha256 = "1dcz0w5xalqsi2xjb5j7c9mq5kf9s9kq9j2inpv4w5wkrrg569zb"; + url = "https://www.rsyslog.com/files/download/rsyslog/${pname}-${version}.tar.gz"; + sha256 = "14qczsj12spx0m3dz1pkxnacwi5njr0syamnmi1rg8ri5xlyw682"; }; #patches = [ ./fix-gnutls-detection.patch ]; @@ -108,6 +109,7 @@ stdenv.mkDerivation rec { meta = { homepage = https://www.rsyslog.com/; description = "Enhanced syslog implementation"; + changelog = "https://raw.githubusercontent.com/rsyslog/rsyslog/v${version}/ChangeLog"; license = licenses.gpl3; platforms = platforms.linux; }; diff --git a/nixpkgs/pkgs/tools/system/syslog-ng/default.nix b/nixpkgs/pkgs/tools/system/syslog-ng/default.nix index 6998ed36ee5..a13d29cfc7b 100644 --- a/nixpkgs/pkgs/tools/system/syslog-ng/default.nix +++ b/nixpkgs/pkgs/tools/system/syslog-ng/default.nix @@ -11,11 +11,11 @@ in stdenv.mkDerivation rec { name = "${pname}-${version}"; - version = "3.22.1"; + version = "3.23.1"; src = fetchurl { url = "https://github.com/balabit/${pname}/releases/download/${name}/${name}.tar.gz"; - sha256 = "1j3l9pn3lf9w87vvwbnxk098gprbqzmfpfw1rch46mgsfqvl8mh6"; + sha256 = "0f6d19ysf2bd12fzagrbbrdp854pwx794ymp8d0zfc98k30wydpv"; }; nativeBuildInputs = [ pkgconfig which ]; diff --git a/nixpkgs/pkgs/tools/system/testdisk-photorec/default.nix b/nixpkgs/pkgs/tools/system/testdisk/default.nix index 06f6751213b..9cec99145b9 100644 --- a/nixpkgs/pkgs/tools/system/testdisk-photorec/default.nix +++ b/nixpkgs/pkgs/tools/system/testdisk/default.nix @@ -1,35 +1,52 @@ -{ stdenv +{ mkDerivation +, stdenv , fetchurl , ncurses , libuuid +, pkgconfig , libjpeg , zlib -, ntfs3g -, e2fsprogs +, libewf +, enableNtfs ? !stdenv.isDarwin, ntfs3g ? null +, enableExtFs ? !stdenv.isDarwin, e2fsprogs ? null +, enableQt ? false, qtbase ? null, qttools ? null, qwt ? null }: -stdenv.mkDerivation rec { - pname = "testdisk-photorec"; - version = "7.0"; +assert enableNtfs -> ntfs3g != null; +assert enableExtFs -> e2fsprogs != null; +assert enableQt -> qtbase != null; +assert enableQt -> qttools != null; +assert enableQt -> qwt != null; + +(if enableQt then mkDerivation else stdenv.mkDerivation) rec { + pname = "testdisk"; + version = "7.1"; src = fetchurl { url = "https://www.cgsecurity.org/testdisk-${version}.tar.bz2"; - sha256 = "0ba4wfz2qrf60vwvb1qsq9l6j0pgg81qgf7fh22siaz649mkpfq0"; + sha256 = "1zlh44w67py416hkvw6nrfmjickc2d43v51vcli5p374d5sw84ql"; }; + + enableParallelBuilding = true; + buildInputs = [ ncurses libuuid - # optional: libjpeg zlib - ntfs3g - e2fsprogs - #libewf # makes it fail to build - #qt4 # for qphotorec, which does not build in 7.0 - ]; + libewf + ] + ++ stdenv.lib.optional enableNtfs ntfs3g + ++ stdenv.lib.optional enableExtFs e2fsprogs + ++ stdenv.lib.optional enableQt [ qtbase qttools qwt ]; + + nativeBuildInputs = [ pkgconfig ]; + + NIX_CFLAGS_COMPILE="-Wno-unused"; + meta = with stdenv.lib; { homepage = https://www.cgsecurity.org/wiki/Main_Page; downloadPage = https://www.cgsecurity.org/wiki/TestDisk_Download; - description = "Data recovery utilities"; + description = "Testdisk / Photorec - Data recovery utilities"; longDescription = '' TestDisk is a powerful free data recovery software. It was primarily designed to help recover lost partitions and/or make non-booting disks @@ -44,8 +61,8 @@ stdenv.mkDerivation rec { it will still work even if your media's file system has been severely damaged or reformatted. ''; - license = licenses.gpl2; - maintainers = with maintainers; [ fgaz ]; + license = stdenv.lib.licenses.gpl2Plus; + platforms = stdenv.lib.platforms.all; + maintainers = with maintainers; [ fgaz eelco ]; }; } - diff --git a/nixpkgs/pkgs/tools/system/thermald/default.nix b/nixpkgs/pkgs/tools/system/thermald/default.nix index 3429419052f..8caff1170bc 100644 --- a/nixpkgs/pkgs/tools/system/thermald/default.nix +++ b/nixpkgs/pkgs/tools/system/thermald/default.nix @@ -23,9 +23,10 @@ stdenv.mkDerivation rec { ''; configureFlags = [ - "--sysconfdir=$(out)/etc" "--localstatedir=/var" - "--with-dbus-sys-dir=$(out)/etc/dbus-1/system.d" - "--with-systemdsystemunitdir=$(out)/etc/systemd/system" + "--sysconfdir=${placeholder "out"}/etc" + "--localstatedir=/var" + "--with-dbus-sys-dir=${placeholder "out"}/share/dbus-1/system.d" + "--with-systemdsystemunitdir=${placeholder "out"}/etc/systemd/system" ]; meta = with stdenv.lib; { diff --git a/nixpkgs/pkgs/top-level/aliases.nix b/nixpkgs/pkgs/top-level/aliases.nix index b81f1a79c71..b5a73132c2a 100644 --- a/nixpkgs/pkgs/top-level/aliases.nix +++ b/nixpkgs/pkgs/top-level/aliases.nix @@ -369,12 +369,14 @@ mapAliases ({ terraform-provider-libvirt = terraform-providers.libvirt; # added 2018-09-28 terraform-provider-nixos = terraform-providers.nixos; # added 2018-09-28 tesseract_4 = tesseract4; # added 2018-12-19 + testdisk-photorec = throw "This package was a duplicate, please use testdisk or testdisk-qt instead"; # added 2019-10-13 tex-gyre-bonum-math = tex-gyre-math.bonum; # added 2018-04-03 tex-gyre-pagella-math = tex-gyre-math.pagella; # added 2018-04-03 tex-gyre-schola-math = tex-gyre-math.schola; # added 2018-04-03 tex-gyre-termes-math = tex-gyre-math.termes; # added 2018-04-03 tftp_hpa = tftp-hpa; # added 2015-04-03 torbrowser = tor-browser-bundle-bin; # added 2017-04-05 + transporter = throw "transporter has been removed. It was archived upstream, so it's considered abandoned."; trang = jing-trang; # added 2018-04-25 transmission_gtk = transmission-gtk; # added 2018-01-06 transmission_remote_gtk = transmission-remote-gtk; # added 2018-01-06 diff --git a/nixpkgs/pkgs/top-level/all-packages.nix b/nixpkgs/pkgs/top-level/all-packages.nix index 1b7fa581207..562ffa3c743 100644 --- a/nixpkgs/pkgs/top-level/all-packages.nix +++ b/nixpkgs/pkgs/top-level/all-packages.nix @@ -153,6 +153,8 @@ in castxml = callPackage ../development/tools/castxml { }; + cereal = callPackage ../development/libraries/cereal { }; + clj-kondo = callPackage ../development/tools/clj-kondo { }; cmark = callPackage ../development/libraries/cmark { }; @@ -660,6 +662,8 @@ in gsl = gsl_1; }; + atheme = callPackage ../servers/irc/atheme { }; + atinout = callPackage ../tools/networking/atinout { }; atomicparsley = callPackage ../tools/video/atomicparsley { @@ -698,8 +702,6 @@ in iamy = callPackage ../tools/admin/iamy { }; - azure-cli = nodePackages_10_x.azure-cli; - azure-storage-azcopy = callPackage ../development/tools/azcopy { }; azure-vhd-utils = callPackage ../tools/misc/azure-vhd-utils { }; @@ -2968,10 +2970,6 @@ in entr = callPackage ../tools/misc/entr { }; - envoy = callPackage ../tools/networking/envoy { - bazel = bazel_0_4; - }; - eot_utilities = callPackage ../tools/misc/eot-utilities { }; eplot = callPackage ../tools/graphics/eplot { }; @@ -3547,8 +3545,8 @@ in google-authenticator = callPackage ../os-specific/linux/google-authenticator { }; - google-cloud-sdk = python2.pkgs.google-cloud-sdk; - google-cloud-sdk-gce = python2.pkgs.google-cloud-sdk-gce; + google-cloud-sdk = callPackage ../tools/admin/google-cloud-sdk { }; + google-cloud-sdk-gce = google-cloud-sdk.override { with-gce = true; }; google-fonts = callPackage ../data/fonts/google-fonts { }; @@ -4373,6 +4371,8 @@ in matrix-synapse = callPackage ../servers/matrix-synapse { }; + matrix-appservice-slack = callPackage ../servers/matrix-synapse/matrix-appservice-slack {}; + mautrix-telegram = recurseIntoAttrs (callPackage ../servers/mautrix-telegram { }); mautrix-whatsapp = callPackage ../servers/mautrix-whatsapp { }; @@ -5486,6 +5486,8 @@ in jbig2enc = callPackage ../tools/graphics/jbig2enc { }; + pdfarranger = callPackage ../applications/misc/pdfarranger { }; + pdfread = callPackage ../tools/graphics/pdfread { inherit (pythonPackages) pillow; }; @@ -5713,7 +5715,7 @@ in pwnat = callPackage ../tools/networking/pwnat { }; - pwndbg = python3Packages.callPackage ../development/tools/misc/pwndbg { }; + pwndbg = callPackage ../development/tools/misc/pwndbg { }; pycangjie = pythonPackages.pycangjie; @@ -5911,7 +5913,7 @@ in reptyr = callPackage ../os-specific/linux/reptyr {}; - rescuetime = callPackage ../applications/misc/rescuetime { }; + rescuetime = libsForQt5.callPackage ../applications/misc/rescuetime { }; reuse = callPackage ../tools/package-management/reuse { }; @@ -6491,8 +6493,6 @@ in termplay = callPackage ../tools/misc/termplay { }; - testdisk-photorec = callPackage ../tools/system/testdisk-photorec { }; - tewisay = callPackage ../tools/misc/tewisay { }; texmacs = if stdenv.isDarwin @@ -6641,8 +6641,6 @@ in translate-shell = callPackage ../applications/misc/translate-shell { }; - transporter = callPackage ../applications/networking/transporter { }; - trash-cli = callPackage ../tools/misc/trash-cli { }; trickle = callPackage ../tools/networking/trickle {}; @@ -6890,6 +6888,10 @@ in wasm-text-gen = nodePackages."@webassemblyjs/wasm-text-gen"; wast-refmt = nodePackages."@webassemblyjs/wast-refmt"; + wasm-bindgen-cli = callPackage ../development/tools/wasm-bindgen-cli { + inherit (darwin.apple_sdk.frameworks) Security; + }; + welkin = callPackage ../tools/graphics/welkin {}; wf-recorder = callPackage ../applications/video/wf-recorder { }; @@ -6921,7 +6923,9 @@ in xe = callPackage ../tools/system/xe { }; - testdisk = callPackage ../tools/misc/testdisk { }; + testdisk = libsForQt5.callPackage ../tools/system/testdisk { }; + + testdisk-qt = testdisk.override { enableQt = true; }; textql = callPackage ../development/tools/textql { }; @@ -7295,6 +7299,8 @@ in zsh-git-prompt = callPackage ../shells/zsh/zsh-git-prompt { }; + zsh-history = callPackage ../shells/zsh/zsh-history { }; + zsh-history-substring-search = callPackage ../shells/zsh/zsh-history-substring-search { }; zsh-navigation-tools = callPackage ../tools/misc/zsh-navigation-tools { }; @@ -7594,7 +7600,7 @@ in gerbil-unstable = callPackage ../development/compilers/gerbil/unstable.nix { stdenv = gccStdenv; }; gccFun = callPackage ../development/compilers/gcc/8; - gcc = if stdenv.isDarwin then gcc7 else gcc8; + gcc = gcc8; gcc-unwrapped = gcc.cc; gccStdenv = if stdenv.cc.isGNU then stdenv else stdenv.override { @@ -8013,6 +8019,8 @@ in idris = idrisPackages.with-packages [ idrisPackages.base ] ; + intel-graphics-compiler = callPackage ../development/compilers/intel-graphics-compiler { }; + intercal = callPackage ../development/compilers/intercal { }; irony-server = callPackage ../development/tools/irony-server { @@ -8429,6 +8437,7 @@ in cargo-audit = callPackage ../tools/package-management/cargo-audit { inherit (darwin.apple_sdk.frameworks) Security; }; + cargo-deps = callPackage ../tools/package-management/cargo-deps { }; cargo-download = callPackage ../tools/package-management/cargo-download { }; cargo-edit = callPackage ../tools/package-management/cargo-edit { }; cargo-graph = callPackage ../tools/package-management/cargo-graph { }; @@ -8515,6 +8524,8 @@ in souffle = callPackage ../development/compilers/souffle { }; + spirv-llvm-translator = callPackage ../development/compilers/spirv-llvm-translator { }; + sqldeveloper = callPackage ../development/tools/database/sqldeveloper { }; # sqldeveloper_18 needs JavaFX, which currently only is available inside the @@ -8539,6 +8550,7 @@ in swift = callPackage ../development/compilers/swift { }; swiProlog = callPackage ../development/compilers/swi-prolog { }; + swiPrologWithGui = callPackage ../development/compilers/swi-prolog { withGui = true; }; tbb = callPackage ../development/libraries/tbb { }; @@ -8954,7 +8966,7 @@ in python35Packages = python35.pkgs; python36Packages = python36.pkgs; python37Packages = recurseIntoAttrs python37.pkgs; - python38Packages = python38.pkgs; + python38Packages = recurseIntoAttrs python38.pkgs; pypyPackages = pypy.pkgs; pypy2Packages = pypy2.pkgs; pypy27Packages = pypy27.pkgs; @@ -9290,9 +9302,6 @@ in bam = callPackage ../development/tools/build-managers/bam {}; - bazel_0_4 = callPackage ../development/tools/build-managers/bazel/0.4.nix { - stdenv = if stdenv.cc.isClang then llvmPackages_6.stdenv else stdenv; - }; bazel = callPackage ../development/tools/build-managers/bazel { inherit (darwin) cctools; inherit (darwin.apple_sdk.frameworks) CoreFoundation CoreServices Foundation; @@ -10116,6 +10125,8 @@ in sbt-extras = callPackage ../development/tools/build-managers/sbt-extras { }; + scc = callPackage ../development/tools/misc/scc { }; + scss-lint = callPackage ../development/tools/scss-lint { }; shadowenv = callPackage ../tools/misc/shadowenv { @@ -10153,6 +10164,8 @@ in snakemake = callPackage ../applications/science/misc/snakemake { python = python3Packages; }; + snore = callPackage ../tools/misc/snore { }; + snowman = qt5.callPackage ../development/tools/analysis/snowman { }; sparse = callPackage ../development/tools/analysis/sparse { }; @@ -10404,6 +10417,11 @@ in armadillo = callPackage ../development/libraries/armadillo {}; + arrayfire = callPackage ../development/libraries/arrayfire { + # fails to build with gcc >= 7 + stdenv = gcc6Stdenv; + }; + arrow-cpp = callPackage ../development/libraries/arrow-cpp { gtest = gtest.override { static = true; }; }; @@ -11806,6 +11824,8 @@ in libclxclient = callPackage ../development/libraries/libclxclient { }; + libco-canonical = callPackage ../development/libraries/libco-canonical { }; + libconfuse = callPackage ../development/libraries/libconfuse { }; libcangjie = callPackage ../development/libraries/libcangjie { }; @@ -12746,6 +12766,8 @@ in lzo = callPackage ../development/libraries/lzo { }; + opencl-clang = callPackage ../development/libraries/opencl-clang { }; + mapnik = callPackage ../development/libraries/mapnik { }; marisa = callPackage ../development/libraries/marisa {}; @@ -13222,6 +13244,8 @@ in gnupth = callPackage ../development/libraries/pth { }; pth = if stdenv.hostPlatform.isMusl then npth else gnupth; + pstreams = callPackage ../development/libraries/pstreams {}; + ptlib = callPackage ../development/libraries/ptlib {}; pugixml = callPackage ../development/libraries/pugixml { }; @@ -13320,7 +13344,7 @@ in qt512 = recurseIntoAttrs (makeOverridable (import ../development/libraries/qt-5/5.12) { inherit newScope; - inherit stdenv fetchurl fetchFromGitHub makeSetupHook makeWrapper; + inherit stdenv fetchurl fetchpatch fetchFromGitHub makeSetupHook makeWrapper; bison = bison2; # error: too few arguments to function 'int yylex(... inherit cups; inherit harfbuzz; @@ -13491,6 +13515,8 @@ in rabbitmq-c = callPackage ../development/libraries/rabbitmq-c {}; + raft-canonical = callPackage ../development/libraries/raft-canonical { }; + range-v3 = callPackage ../development/libraries/range-v3 {}; rabbitmq-java-client = callPackage ../development/libraries/rabbitmq-java-client {}; @@ -15746,6 +15772,8 @@ in intel2200BGFirmware = callPackage ../os-specific/linux/firmware/intel2200BGFirmware { }; + intel-compute-runtime = callPackage ../os-specific/linux/intel-compute-runtime { }; + intel-ocl = callPackage ../os-specific/linux/intel-ocl { }; iomelt = callPackage ../os-specific/linux/iomelt { }; @@ -15758,7 +15786,9 @@ in iputils = callPackage ../os-specific/linux/iputils { }; - iptables = callPackage ../os-specific/linux/iptables { }; + iptables = iptables-legacy; + iptables-legacy = callPackage ../os-specific/linux/iptables { }; + iptables-nftables-compat = callPackage ../os-specific/linux/iptables { nftablesCompat = true; }; iptstate = callPackage ../os-specific/linux/iptstate { } ; @@ -16814,7 +16844,7 @@ in charis-sil = callPackage ../data/fonts/charis-sil { }; - cherry = callPackage ../data/fonts/cherry { }; + cherry = callPackage ../data/fonts/cherry { inherit (xorg) fonttosfnt mkfontdir; }; cnstrokeorder = callPackage ../data/fonts/cnstrokeorder {}; @@ -17329,7 +17359,7 @@ in source-han-serif-simplified-chinese = sourceHanSerifPackages.simplified-chinese; source-han-serif-traditional-chinese = sourceHanSerifPackages.traditional-chinese; - spleen = callPackage ../data/fonts/spleen { }; + spleen = callPackage ../data/fonts/spleen { inherit (xorg) mkfontdir; }; stilo-themes = callPackage ../data/themes/stilo { }; @@ -19984,6 +20014,12 @@ in ncdc = callPackage ../applications/networking/p2p/ncdc { }; + ncspot = callPackage ../applications/audio/ncspot { + withALSA = stdenv.isLinux; + withPulseAudio = config.pulseaudio or stdenv.isLinux; + withPortAudio = stdenv.isDarwin; + }; + ncview = callPackage ../tools/X11/ncview { } ; ne = callPackage ../applications/editors/ne { }; @@ -20316,7 +20352,7 @@ in psi = callPackage ../applications/networking/instant-messengers/psi { }; - psi-plus = callPackage ../applications/networking/instant-messengers/psi-plus { }; + psi-plus = libsForQt5.callPackage ../applications/networking/instant-messengers/psi-plus { }; psol = callPackage ../development/libraries/psol { }; @@ -21912,7 +21948,7 @@ in inherit (darwin.apple_sdk.frameworks) CoreServices; }; - zoom-us = libsForQt59.callPackage ../applications/networking/instant-messengers/zoom-us { }; + zoom-us = libsForQt5.callPackage ../applications/networking/instant-messengers/zoom-us { }; zotero = callPackage ../applications/office/zotero { }; @@ -22055,7 +22091,7 @@ in arena = callPackage ../games/arena {}; - arx-libertatis = callPackage ../games/arx-libertatis { + arx-libertatis = libsForQt5.callPackage ../games/arx-libertatis { stdenv = gcc6Stdenv; }; @@ -22494,6 +22530,10 @@ in openxcom = callPackage ../games/openxcom { }; + openxray = callPackage ../games/openxray { + stdenv = gcc8Stdenv; + }; + orthorobot = callPackage ../games/orthorobot { }; pacvim = callPackage ../games/pacvim { }; @@ -23110,7 +23150,7 @@ in conglomerate = callPackage ../applications/science/biology/conglomerate { }; dcm2niix = callPackage ../applications/science/biology/dcm2niix { }; - + delly = callPackage ../applications/science/biology/delly { }; diamond = callPackage ../applications/science/biology/diamond { }; @@ -25165,4 +25205,6 @@ in keycard-cli = callPackage ../tools/security/keycard-cli {}; + sieveshell = with python3.pkgs; toPythonApplication managesieve; + } diff --git a/nixpkgs/pkgs/top-level/coq-packages.nix b/nixpkgs/pkgs/top-level/coq-packages.nix index cc42ff161f9..96880b15445 100644 --- a/nixpkgs/pkgs/top-level/coq-packages.nix +++ b/nixpkgs/pkgs/top-level/coq-packages.nix @@ -127,7 +127,7 @@ in rec { version = "8.9.1"; }; coq_8_10 = callPackage ../applications/science/logic/coq { - version = "8.10+beta3"; + version = "8.10.0"; }; coqPackages_8_5 = mkCoqPackages coq_8_5; diff --git a/nixpkgs/pkgs/top-level/ocaml-packages.nix b/nixpkgs/pkgs/top-level/ocaml-packages.nix index 81db86cbb1e..ffe6a215e9d 100644 --- a/nixpkgs/pkgs/top-level/ocaml-packages.nix +++ b/nixpkgs/pkgs/top-level/ocaml-packages.nix @@ -211,12 +211,6 @@ let digestif = callPackage ../development/ocaml-modules/digestif { }; - doc-ock = callPackage ../development/ocaml-modules/doc-ock { }; - - doc-ock-html = callPackage ../development/ocaml-modules/doc-ock-html { }; - - doc-ock-xml = callPackage ../development/ocaml-modules/doc-ock-xml { }; - dolmen = callPackage ../development/ocaml-modules/dolmen { }; dolog = callPackage ../development/ocaml-modules/dolog { }; @@ -239,6 +233,8 @@ let enumerate = callPackage ../development/ocaml-modules/enumerate { }; + eqaf = callPackage ../development/ocaml-modules/eqaf { }; + erm_xml = callPackage ../development/ocaml-modules/erm_xml { }; erm_xmpp = callPackage ../development/ocaml-modules/erm_xmpp { }; @@ -747,6 +743,8 @@ let sedlex = callPackage ../development/ocaml-modules/sedlex { }; + spelll = callPackage ../development/ocaml-modules/spelll { }; + sqlite3EZ = callPackage ../development/ocaml-modules/sqlite3EZ { }; ssl = callPackage ../development/ocaml-modules/ssl { }; diff --git a/nixpkgs/pkgs/top-level/perl-packages.nix b/nixpkgs/pkgs/top-level/perl-packages.nix index eabcff964b9..71fb6a27917 100644 --- a/nixpkgs/pkgs/top-level/perl-packages.nix +++ b/nixpkgs/pkgs/top-level/perl-packages.nix @@ -743,6 +743,23 @@ let }; }; + AuthenOATH = buildPerlPackage { + pname = "Authen-OATH"; + version = "2.0.1"; + src = fetchurl { + url = "mirror://cpan/authors/id/O/OA/OALDERS/Authen-OATH-2.0.1.tar.gz"; + sha256 = "1a813dbdc05c3fbd9dd39dbcfd85e2cfb0ba3d0f652cf6b26ec83ab8146ddc77"; + }; + buildInputs = [ TestNeeds ]; + propagatedBuildInputs = [ DigestHMAC Moo TypeTiny ]; + meta = { + homepage = "https://github.com/oalders/authen-oath"; + description = "OATH One Time Passwords"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + maintainers = [ maintainers.sgo ]; + }; + }; + AuthenPassphrase = buildPerlModule { pname = "Authen-Passphrase"; version = "0.008"; @@ -2121,6 +2138,21 @@ let }; }; + CLASS = buildPerlPackage { + pname = "CLASS"; + version = "1.00"; + src = fetchurl { + url = "mirror://cpan/authors/id/M/MS/MSCHWERN/CLASS-1.00.tar.gz"; + sha256 = "c5185620815701b3fec21314ccd8c5693e6bfd519431527da3370a8164220671"; + }; + meta = { + homepage = "https://metacpan.org/pod/CLASS"; + description = "Alias for __PACKAGE__"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + maintainers = [ maintainers.sgo ]; + }; + }; + ClassAccessor = buildPerlPackage { pname = "Class-Accessor"; version = "0.51"; @@ -2973,6 +3005,22 @@ let }; }; + ConvertBase32 = buildPerlPackage { + pname = "Convert-Base32"; + version = "0.06"; + src = fetchurl { + url = "mirror://cpan/authors/id/I/IK/IKEGAMI/Convert-Base32-0.06.tar.gz"; + sha256 = "4ba82c167c41f455aa8284738727e4c94a2ebcb1c4ce797f6fda07245a642115"; + }; + buildInputs = [ TestException ]; + meta = { + homepage = "https://metacpan.org/pod/Convert::Base32"; + description = "Encoding and decoding of base32 strings"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + maintainers = [ maintainers.sgo ]; + }; + }; + ConvertColor = buildPerlModule { pname = "Convert-Color"; version = "0.11"; @@ -3477,6 +3525,23 @@ let buildInputs = [ CryptOpenSSLGuess ]; }; + CryptPBKDF2 = buildPerlPackage { + pname = "Crypt-PBKDF2"; + version = "0.161520"; + src = fetchurl { + url = "mirror://cpan/authors/id/A/AR/ARODLAND/Crypt-PBKDF2-0.161520.tar.gz"; + sha256 = "97dfa79a309a086e184a4e61047f8a10ffb3db051025e7d222a25f19130ba417"; + }; + buildInputs = [ TestFatal ]; + propagatedBuildInputs = [ DigestHMAC DigestSHA3 Moo TryTiny TypeTiny namespaceautoclean strictures ]; + meta = { + homepage = "https://metacpan.org/release/Crypt-PBKDF2"; + description = "The PBKDF2 password hash algorithm"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + maintainers = [ maintainers.sgo ]; + }; + }; + CryptEd25519 = buildPerlPackage { pname = "Crypt-Ed25519"; version = "1.04"; @@ -3786,6 +3851,22 @@ let }; }; + DataMessagePack = buildPerlPackage { + pname = "Data-MessagePack"; + version = "1.00"; + src = fetchurl { + url = "mirror://cpan/authors/id/S/SY/SYOHEX/Data-MessagePack-1.00.tar.gz"; + sha256 = "c9f0eeaf56ee4dfd509eccba2dd838921aebdf186ba60de166443ffc4b0ba1a2"; + }; + buildInputs = [ FileCopyRecursive TestRequires TestLeakTrace ]; + meta = { + homepage = "https://github.com/msgpack/msgpack-perl"; + description = "MessagePack serializing/deserializing"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + maintainers = [ maintainers.sgo ]; + }; + }; + DataOptList = buildPerlPackage { pname = "Data-OptList"; version = "0.110"; @@ -3894,6 +3975,20 @@ let }; }; + DataSExpression = buildPerlPackage { + pname = "Data-SExpression"; + version = "0.41"; + src = fetchurl { + url = "mirror://cpan/authors/id/N/NE/NELHAGE/Data-SExpression-0.41.tar.gz"; + sha256 = "8162426a4285a094385fdfaf6d09ced106d5af57553f953acb1d56867dd0149b"; + }; + buildInputs = [ TestDeep ]; + propagatedBuildInputs = [ ClassAccessor ]; + meta = { + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + }; + }; + DataSpreadPagination = buildPerlPackage { pname = "Data-SpreadPagination"; version = "0.1.2"; @@ -4544,6 +4639,39 @@ let }; }; + DeviceMAC = buildPerlPackage { + pname = "Device-MAC"; + version = "1.00"; + src = fetchurl { + url = "mirror://cpan/authors/id/J/JA/JASONK/Device-MAC-1.00.tar.gz"; + sha256 = "c42182a9a8489a314cbfe6e1c8452f32b3b626aa6c89fee1d8925e6dfb64fad5"; + }; + buildInputs = [ TestMost TestDifferences TestException TestWarn TestDeep ]; + propagatedBuildInputs = [ DeviceOUI Moose ]; + meta = { + description = "Handle hardware MAC Addresses (EUI-48 and EUI-64)"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + maintainers = [ maintainers.sgo ]; + }; + }; + + DeviceOUI = buildPerlPackage { + pname = "Device-OUI"; + version = "1.04"; + src = fetchurl { + url = "mirror://cpan/authors/id/J/JA/JASONK/Device-OUI-1.04.tar.gz"; + sha256 = "4b367e61b1fadde77fb6fb729f3cd5acd1d46e71218d96f406bcba38d43b4bef"; + }; + buildInputs = [ TestException ]; + patches = [ ../development/perl-modules/Device-OUI-1.04-hash.patch ]; + propagatedBuildInputs = [ ClassAccessorGrouped SubExporter LWP ]; + meta = { + description = "Resolve an Organizationally Unique Identifier"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + maintainers = [ maintainers.sgo ]; + }; + }; + DBDMock = buildPerlModule { pname = "DBD-Mock"; version = "1.45"; @@ -4619,6 +4747,23 @@ let }; }; + DBICxTestDatabase = buildPerlPackage { + pname = "DBICx-TestDatabase"; + version = "0.05"; + src = fetchurl { + url = "mirror://cpan/authors/id/J/JR/JROCKWAY/DBICx-TestDatabase-0.05.tar.gz"; + sha256 = "8e3bc2530b01216188c3aa65acdbd2f59c4e631f3ae085dfc439abd89f8f0acf"; + }; + buildInputs = [ DBIxClass ]; + propagatedBuildInputs = [ DBDSQLite SQLTranslator ]; + meta = { + homepage = "https://metacpan.org/pod/DBICx::TestDatabase"; + description = "Create a temporary database from a DBIx::Class::Schema"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + maintainers = [ maintainers.sgo ]; + }; + }; + DBIxClass = buildPerlPackage { pname = "DBIx-Class"; version = "0.082841"; @@ -4666,6 +4811,23 @@ let }; }; + DBIxClassDynamicDefault = buildPerlPackage { + pname = "DBIx-Class-DynamicDefault"; + version = "0.04"; + src = fetchurl { + url = "mirror://cpan/authors/id/M/MS/MSTROUT/DBIx-Class-DynamicDefault-0.04.tar.gz"; + sha256 = "228f51ab224642584b4dc63db6de2667c5bfae2a894a9376b210a104806a5afb"; + }; + buildInputs = [ DBICxTestDatabase ]; + propagatedBuildInputs = [ DBIxClass ]; + meta = { + homepage = "https://metacpan.org/pod/DBIx::Class::DynamicDefault"; + description = "Automatically set and update fields"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + maintainers = [ maintainers.sgo ]; + }; + }; + DBIxClassHTMLWidget = buildPerlPackage { pname = "DBIx-Class-HTMLWidget"; version = "0.16"; @@ -4692,6 +4854,23 @@ let }; }; + DBIxClassInflateColumnSerializer = buildPerlPackage { + pname = "DBIx-Class-InflateColumn-Serializer"; + version = "0.09"; + src = fetchurl { + url = "mirror://cpan/authors/id/M/MR/MRUIZ/DBIx-Class-InflateColumn-Serializer-0.09.tar.gz"; + sha256 = "6262b4871db6a6c45a0cbe7cde8f1b890b22c291add4ecc40caaeeab5a3a6f50"; + }; + buildInputs = [ DBDSQLite TestException ]; + propagatedBuildInputs = [ DBIxClass JSONMaybeXS YAML namespaceclean ]; + meta = { + homepage = "https://metacpan.org/pod/DBIx::Class::InflateColumn::Serializer"; + description = "Inflators to serialize data structures for DBIx::Class"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + maintainers = [ maintainers.sgo ]; + }; + }; + DBIxClassIntrospectableM2M = buildPerlPackage { pname = "DBIx-Class-IntrospectableM2M"; version = "0.001002"; @@ -5043,6 +5222,21 @@ let }; }; + DigestSHA3 = buildPerlPackage { + pname = "Digest-SHA3"; + version = "1.04"; + src = fetchurl { + url = "mirror://cpan/authors/id/M/MS/MSHELOR/Digest-SHA3-1.04.tar.gz"; + sha256 = "4a68b67c5034f40fbb1344b304cd66caaa5e320eb523005201cc24f76d470c14"; + }; + meta = { + homepage = "https://metacpan.org/release/Digest-SHA3"; + description = "Perl extension for SHA-3"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + maintainers = [ maintainers.sgo ]; + }; + }; + DirManifest = buildPerlModule { pname = "Dir-Manifest"; version = "0.2.0"; @@ -7443,7 +7637,9 @@ let sha256 = "1044rj3wbfmgaif2jb0k28m2aczli6ai2n5yvn6pr7zjyw16kvd2"; }; buildInputs = [ pkgs.gtk2 Cairo ExtUtilsDepends ExtUtilsPkgConfig Glib Pango ]; - doCheck = !stdenv.isDarwin; + # https://rt.cpan.org/Public/Bug/Display.html?id=130742 + # doCheck = !stdenv.isDarwin; + doCheck = false; meta = { homepage = http://gtk2-perl.sourceforge.net/; description = "Perl interface to the 2.x series of the Gimp Toolkit library"; @@ -8861,11 +9057,11 @@ let ImageExifTool = buildPerlPackage { pname = "Image-ExifTool"; - version = "11.50"; + version = "11.70"; src = fetchurl { - url = "https://www.sno.phy.queensu.ca/~phil/exiftool/Image-ExifTool-11.50.tar.gz"; - sha256 = "0d8v48y94z8maxkmw1rv7v9m0jg2dc8xbp581njb6yhr7abwqdv3"; + url = "https://www.sno.phy.queensu.ca/~phil/exiftool/Image-ExifTool-11.70.tar.gz"; + sha256 = "1z0s0zvga24m9dzp1lnrsvwv9qmvn21ifd9in520sd54ld774ifp"; }; nativeBuildInputs = stdenv.lib.optional stdenv.isDarwin shortenPerlShebang; @@ -9088,6 +9284,23 @@ let }; }; + JSONValidator = buildPerlPackage { + pname = "JSON-Validator"; + version = "3.15"; + src = fetchurl { + url = "mirror://cpan/authors/id/J/JH/JHTHORSEN/JSON-Validator-3.15.tar.gz"; + sha256 = "ce14ed018b9843ef022c4c14e2171545d3da92e533c8f122b8e33f27444b2a6c"; + }; + buildInputs = [ TestDeep ]; + propagatedBuildInputs = [ Mojolicious YAMLLibYAML DataValidateDomain DataValidateIP NetIDNEncode ]; + meta = { + homepage = "https://github.com/mojolicious/json-validator"; + description = "Validate data against a JSON schema"; + license = stdenv.lib.licenses.artistic2; + maintainers = [ maintainers.sgo ]; + }; + }; + JSONWebToken = buildPerlModule { pname = "JSON-WebToken"; version = "0.10"; @@ -11263,6 +11476,39 @@ let }; }; + MojoSQLite = buildPerlModule { + pname = "Mojo-SQLite"; + version = "3.002"; + src = fetchurl { + url = "mirror://cpan/authors/id/D/DB/DBOOK/Mojo-SQLite-3.002.tar.gz"; + sha256 = "16dn0p14i6r4c8aspvkp7rfry3zy7kr2ffcmncj0pqygk62miinp"; + }; + buildInputs = [ ModuleBuildTiny ]; + propagatedBuildInputs = [ DBDSQLite Mojolicious SQLAbstract URI URIdb ]; + meta = { + homepage = "https://github.com/Grinnz/Mojo-SQLite"; + description = "A tiny Mojolicious wrapper for SQLite"; + license = stdenv.lib.licenses.artistic2; + maintainers = [ maintainers.sgo ]; + }; + }; + + Mojomysql = buildPerlPackage rec { + pname = "Mojo-mysql"; + version = "1.17"; + src = fetchurl { + url = "mirror://cpan/authors/id/J/JH/JHTHORSEN/Mojo-mysql-1.17.tar.gz"; + sha256 = "93cd964760918a43124c3dc65d513372b6d1d00993427894e2f11a1f96662554"; + }; + propagatedBuildInputs = [ DBDmysql Mojolicious SQLAbstract ]; + meta = { + homepage = "https://github.com/jhthorsen/mojo-mysql"; + description = "Mojolicious and Async MySQL/MariaDB"; + license = stdenv.lib.licenses.artistic2; + maintainers = [ maintainers.sgo ]; + }; + }; + MojoIOLoopForkCall = buildPerlModule { pname = "Mojo-IOLoop-ForkCall"; version = "0.20"; @@ -11277,6 +11523,23 @@ let }; }; + MojoJWT = buildPerlModule { + pname = "Mojo-JWT"; + version = "0.08"; + src = fetchurl { + url = "mirror://cpan/authors/id/J/JB/JBERGER/Mojo-JWT-0.08.tar.gz"; + sha256 = "c910229e1182266b6666a2d65deea381a04e48d3aa788c42461b3184006934de"; + }; + buildInputs = [ ModuleBuildTiny ]; + propagatedBuildInputs = [ Mojolicious ]; + meta = { + homepage = "https://github.com/jberger/Mojo-JWT"; + description = "JSON Web Token the Mojo way"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + maintainers = [ maintainers.sgo ]; + }; + }; + MonitoringPlugin = buildPerlPackage { pname = "Monitoring-Plugin"; version = "0.40"; @@ -14893,6 +15156,21 @@ let }; }; + RPCEPCService = buildPerlPackage { + pname = "RPC-EPC-Service"; + version = "0.0.11"; + src = fetchurl { + url = "mirror://cpan/authors/id/K/KI/KIWANAMI/RPC-EPC-Service-v0.0.11.tar.gz"; + sha256 = "975f4134365258fb47fa921919053513adb9101f2bd420fcefe345f209128be3"; + }; + buildInputs = [ ModuleBuild ]; + propagatedBuildInputs = [ AnyEvent DataSExpression ]; + meta = { + description = "An Asynchronous Remote Procedure Stack"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + }; + }; + RSSParserLite = buildPerlPackage { pname = "RSS-Parser-Lite"; version = "0.12"; @@ -15068,6 +15346,21 @@ let }; }; + SessionToken = buildPerlPackage rec { + pname = "Session-Token"; + version = "1.503"; + src = fetchurl { + url = "mirror://cpan/authors/id/F/FR/FRACTAL/Session-Token-1.503.tar.gz"; + sha256 = "32c3df96ef455c71870363acd950ddc4fbc848c594f4bc55b21b44cf979f79a1"; + }; + meta = { + homepage = "https://github.com/hoytech/Session-Token"; + description = "Secure, efficient, simple random session token generation"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + maintainers = [ maintainers.sgo ]; + }; + }; + SetInfinite = buildPerlPackage { pname = "Set-Infinite"; version = "0.65"; @@ -15118,6 +15411,21 @@ let }; }; + SmartComments = buildPerlPackage rec { + pname = "Smart-Comments"; + version = "1.06"; + src = fetchurl { + url = "mirror://cpan/authors/id/N/NE/NEILB/Smart-Comments-1.06.tar.gz"; + sha256 = "dcf8a312134a7c6b82926a0115d93b692472a662d28cdc3a9bdf28984ada9ee3"; + }; + meta = { + homepage = "https://github.com/neilb/Smart-Comments"; + description = "Comments that do more than just sit there"; + license = with stdenv.lib.licenses; [ artistic1 gpl1Plus ]; + maintainers = [ maintainers.sgo ]; + }; + }; + SGMLSpm = buildPerlModule { pname = "SGMLSpm"; version = "1.1"; diff --git a/nixpkgs/pkgs/top-level/python-packages.nix b/nixpkgs/pkgs/top-level/python-packages.nix index 4478b4e39db..8de4b638a06 100644 --- a/nixpkgs/pkgs/top-level/python-packages.nix +++ b/nixpkgs/pkgs/top-level/python-packages.nix @@ -100,7 +100,7 @@ let in { - inherit (python.passthru) isPy27 isPy33 isPy34 isPy35 isPy36 isPy37 isPy3k isPyPy pythonAtLeast pythonOlder; + inherit (python.passthru) isPy27 isPy33 isPy34 isPy35 isPy36 isPy37 isPy38 isPy3k isPyPy pythonAtLeast pythonOlder; inherit python bootstrapped-pip buildPythonPackage buildPythonApplication; inherit fetchPypi callPackage; inherit hasPythonModule requiredPythonModules makePythonPath disabledIf; @@ -163,6 +163,8 @@ in { aioamqp = callPackage ../development/python-modules/aioamqp { }; + aioredis = callPackage ../development/python-modules/aioredis { }; + ansicolor = callPackage ../development/python-modules/ansicolor { }; ansi2html = callPackage ../development/python-modules/ansi2html { }; @@ -175,6 +177,8 @@ in { apprise = callPackage ../development/python-modules/apprise { }; + aresponses = callPackage ../development/python-modules/aresponses { }; + argon2_cffi = callPackage ../development/python-modules/argon2_cffi { }; asana = callPackage ../development/python-modules/asana { }; @@ -469,6 +473,8 @@ in { chalice = callPackage ../development/python-modules/chalice { }; + channels-redis = callPackage ../development/python-modules/channels-redis { }; + cleo = callPackage ../development/python-modules/cleo { }; clikit = callPackage ../development/python-modules/clikit { }; @@ -637,7 +643,10 @@ in { inherit (pkgs) graphviz; }; - gsd = callPackage ../development/python-modules/gsd { }; + gsd = if isPy27 then + callPackage ../development/python-modules/gsd/1.7.nix { } + else + callPackage ../development/python-modules/gsd { }; gssapi = callPackage ../development/python-modules/gssapi { inherit (pkgs) darwin krb5Full; @@ -1084,6 +1093,8 @@ in { pytest-xprocess = callPackage ../development/python-modules/pytest-xprocess { }; + pytmx = callPackage ../development/python-modules/pytmx { }; + python-binance = callPackage ../development/python-modules/python-binance { }; python-dbusmock = callPackage ../development/python-modules/python-dbusmock { }; @@ -2408,9 +2419,6 @@ in { python-gitlab = callPackage ../development/python-modules/python-gitlab { }; - google-cloud-sdk = callPackage ../tools/admin/google-cloud-sdk { }; - google-cloud-sdk-gce = callPackage ../tools/admin/google-cloud-sdk { with-gce=true; }; - google-compute-engine = callPackage ../tools/virtualization/google-compute-engine { }; google-music = callPackage ../development/python-modules/google-music { }; @@ -2908,10 +2916,14 @@ in { django_appconf = callPackage ../development/python-modules/django_appconf { }; + django-auth-ldap = callPackage ../development/python-modules/django-auth-ldap { }; + django_colorful = callPackage ../development/python-modules/django_colorful { }; django-cache-url = callPackage ../development/python-modules/django-cache-url { }; + django-cleanup = callPackage ../development/python-modules/django-cleanup { }; + django-configurations = callPackage ../development/python-modules/django-configurations { }; django_compressor = callPackage ../development/python-modules/django_compressor { }; @@ -2926,12 +2938,16 @@ in { django-discover-runner = callPackage ../development/python-modules/django-discover-runner { }; + django-dynamic-preferences = callPackage ../development/python-modules/django-dynamic-preferences { }; + django_environ = callPackage ../development/python-modules/django_environ { }; django_evolution = callPackage ../development/python-modules/django_evolution { }; django_extensions = callPackage ../development/python-modules/django-extensions { }; + django-filter = callPackage ../development/python-modules/django-filter { }; + django-gravatar2 = callPackage ../development/python-modules/django-gravatar2 { }; django_guardian = callPackage ../development/python-modules/django_guardian { }; @@ -2944,6 +2960,8 @@ in { django-mailman3 = callPackage ../development/python-modules/django-mailman3 { }; + django-oauth-toolkit = callPackage ../development/python-modules/django-oauth-toolkit { }; + django-pglocks = callPackage ../development/python-modules/django-pglocks { }; django-picklefield = callPackage ../development/python-modules/django-picklefield { }; @@ -2954,6 +2972,10 @@ in { django-sampledatahelper = callPackage ../development/python-modules/django-sampledatahelper { }; + django-storages = callPackage ../development/python-modules/django-storages { }; + + django-versatileimagefield = callPackage ../development/python-modules/django-versatileimagefield { }; + django-sites = callPackage ../development/python-modules/django-sites { }; django-sr = callPackage ../development/python-modules/django-sr { }; @@ -3420,6 +3442,8 @@ in { hetzner = callPackage ../development/python-modules/hetzner { }; + hiredis = callPackage ../development/python-modules/hiredis { }; + homeassistant-pyozw = callPackage ../development/python-modules/homeassistant-pyozw { }; htmllaundry = callPackage ../development/python-modules/htmllaundry { }; @@ -3879,6 +3903,8 @@ in { neuronpy = callPackage ../development/python-modules/neuronpy { }; + persisting-theory = callPackage ../development/python-modules/persisting-theory { }; + pint = callPackage ../development/python-modules/pint { }; pygal = callPackage ../development/python-modules/pygal { }; @@ -4483,6 +4509,8 @@ in { pykickstart = callPackage ../development/python-modules/pykickstart { }; + pymemoize = callPackage ../development/python-modules/pymemoize { }; + pyobjc = if stdenv.isDarwin then callPackage ../development/python-modules/pyobjc {} else throw "pyobjc can only be built on Mac OS"; @@ -4760,6 +4788,8 @@ in { geoalchemy2 = callPackage ../development/python-modules/geoalchemy2 { }; + geographiclib = callPackage ../development/python-modules/geographiclib { }; + geopy = callPackage ../development/python-modules/geopy { }; django-haystack = callPackage ../development/python-modules/django-haystack { }; @@ -4774,6 +4804,8 @@ in { readthedocs-sphinx-ext = callPackage ../development/python-modules/readthedocs-sphinx-ext { }; + requests-http-signature = callPackage ../development/python-modules/requests-http-signature { }; + resampy = callPackage ../development/python-modules/resampy { }; restructuredtext_lint = callPackage ../development/python-modules/restructuredtext_lint { }; @@ -5002,6 +5034,8 @@ in { tadasets = callPackage ../development/python-modules/tadasets { }; + tasklib = callPackage ../development/python-modules/tasklib { }; + tempita = callPackage ../development/python-modules/tempita { }; terminado = callPackage ../development/python-modules/terminado { }; @@ -5440,6 +5474,8 @@ in { unicodecsv = callPackage ../development/python-modules/unicodecsv { }; + unicode-slugify = callPackage ../development/python-modules/unicode-slugify { }; + unidiff = callPackage ../development/python-modules/unidiff { }; units = callPackage ../development/python-modules/units { }; @@ -6161,6 +6197,8 @@ in { pyhamcrest = callPackage ../development/python-modules/pyhamcrest { }; + pyhaversion = callPackage ../development/python-modules/pyhaversion { }; + parse = callPackage ../development/python-modules/parse { }; parse-type = callPackage ../development/python-modules/parse-type { }; @@ -6300,6 +6338,9 @@ in { pyprof2calltree = callPackage ../development/python-modules/pyprof2calltree { }; hcloud = callPackage ../development/python-modules/hcloud { }; + + managesieve = callPackage ../development/python-modules/managesieve { }; + }); in fix' (extends overrides packages) diff --git a/nixpkgs/pkgs/top-level/static.nix b/nixpkgs/pkgs/top-level/static.nix index cc773207c37..d1708096032 100644 --- a/nixpkgs/pkgs/top-level/static.nix +++ b/nixpkgs/pkgs/top-level/static.nix @@ -161,6 +161,9 @@ in { enableShared = false; enableStatic = true; }; + libressl = super.libressl.override { + buildShared = false; + }; darwin = super.darwin // { libiconv = super.darwin.libiconv.override { |