aboutsummaryrefslogtreecommitdiff
path: root/nixpkgs/pkgs/applications/editors/quartus-prime/default.nix
diff options
context:
space:
mode:
Diffstat (limited to 'nixpkgs/pkgs/applications/editors/quartus-prime/default.nix')
-rw-r--r--nixpkgs/pkgs/applications/editors/quartus-prime/default.nix21
1 files changed, 9 insertions, 12 deletions
diff --git a/nixpkgs/pkgs/applications/editors/quartus-prime/default.nix b/nixpkgs/pkgs/applications/editors/quartus-prime/default.nix
index 093635b10e4..7ad2a3247df 100644
--- a/nixpkgs/pkgs/applications/editors/quartus-prime/default.nix
+++ b/nixpkgs/pkgs/applications/editors/quartus-prime/default.nix
@@ -24,7 +24,7 @@ let
) deviceIds;
quartus = stdenv.mkDerivation rec {
- version = "19.1.0.670";
+ version = "20.1.0.711";
pname = "quartus-prime-lite-unwrapped";
src = let
@@ -34,12 +34,12 @@ let
};
hashes = {
- "arria_lite" = "1flj9w0vb2p9f9zll136izr6qvmxn0lg72bvaqxs3sxc9vj06wm1";
- "cyclonev" = "0bqxpvjgph0y6slk0jq75mcqzglmqkm0jsx10y9xz5llm6zxzqab";
- "cyclone" = "0pzs8y4s3snxg4g6lrb21qi88abm48g279xzd98qv17qxb2z82rr";
- "cyclone10lp" = "1ccxq8n20y40y47zddkijcv41w3cddvydddr3m4844q31in3nxha";
- "max" = "1cxzbqscxvlcy74dpqmvlnxjyyxfwcx3spygpvpwi6dfj3ipgm2z";
- "max10" = "14k83javivbk65mpb17wdwsyb8xk7x9gzj9x0wnd24mmijrvdy9s";
+ "arria_lite" = "09g2knq23h3vj0s5y7hsdnqbbkr3pnv53dzpqcw2lq9mb5zfs9r0";
+ "cyclonev" = "05hrpysasyfb7xhxg68spdffxyvxcx0iagibd5jz643b7n6aalpa";
+ "cyclone" = "1x3rnwsvzrb5kwdz35sbcabxmcvj8xxpnjlpcjwfc69ybiyr6sgz";
+ "cyclone10lp" = "1x6d4hm697mjgzaxixrw5va8anr6ihhx96x2524r6axpwqf6wcja";
+ "max" = "060b7v0xh86kkjyiix7akfkzhx2kl1b3q117kp7xibnz6yrzwmy3";
+ "max10" = "05840l9pmqa4i1b3ajfaxkqz1hppls556vbq16a42acz2qs2g578";
};
devicePackages = map (id: {
@@ -48,10 +48,10 @@ let
}) (lib.attrValues supportedDeviceIds);
in map require ([{
name = "QuartusLiteSetup-${version}-linux.run";
- sha256 = "15vxvqxqdk29ahlw3lkm1nzxyhzy4626wb9s5f2h6sjgq64r8m7f";
+ sha256 = "07ssrv8p8kacal6xd80n4h7l5xz13aw1m1gfqqaxig0ivsj971z5";
} {
name = "ModelSimSetup-${version}-linux.run";
- sha256 = "0j1vfr91jclv88nam2plx68arxmz4g50sqb840i60wqd5b0l3y6r";
+ sha256 = "0smxasrmr1c8k6hy378knskpjmz4cgpgb35v5jclns0kx68y3c42";
}] ++ devicePackages);
nativeBuildInputs = [ unstick ];
@@ -81,9 +81,6 @@ let
--disable-components ${lib.concatStringsSep "," disabledComponents} \
--mode unattended --installdir $out --accept_eula 1
- # This patch is from https://wiki.archlinux.org/index.php/Altera_Design_Software
- patch --force --strip 0 --directory $out < ${./vsim.patch}
-
rm -r $out/uninstall $out/logs
'';